欢迎来到三一办公! | 帮助中心 三一办公31ppt.com(应用文档模板下载平台)
三一办公
全部分类
  • 办公文档>
  • PPT模板>
  • 建筑/施工/环境>
  • 毕业设计>
  • 工程图纸>
  • 教育教学>
  • 素材源码>
  • 生活休闲>
  • 临时分类>
  • ImageVerifierCode 换一换
    首页 三一办公 > 资源分类 > PPT文档下载
     

    2 Quartus II及其原理图设计.ppt

    • 资源ID:5093032       资源大小:3.02MB        全文页数:76页
    • 资源格式: PPT        下载积分:10金币
    快捷下载 游客一键下载
    会员登录下载
    三方登录下载: 微信开放平台登录 QQ登录  
    下载资源需要10金币
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    2 Quartus II及其原理图设计.ppt

    1,QuartusII介绍及原理图设计,2,QUARTUS II 软件介绍,1、版本下载地址:ftp:/(2.77GB)2、破解下载地址:http:/Crack_Altera_6.0-9.1.rar 3、安装和破解详细说明地址:http:/,3,QUARTUS II 安装注意事项,1、需要5GB空间2、需要关闭杀毒软件(所以最好是断网安装)3、安装过程中选择路径中最好不要有中文,下划线等,4,QUARTUS II 设计开发流程,5,QUARTUS II 文件格式介绍,6,QUARTUS II 图表和原理图编辑器,1、图表模块编辑是主要的顶层设计的主要方法2、原理图编辑是传统的设计输入方法3、用户可以利用加入QUARTUS II提供的LPMs,宏功能等函数以及用户自己的库函数来设计4、提供“智能”的模块链接和映射,7,QUARTUS II 图表模块设计流程,8,QUARTUS II 新建项目,1、新建项目:File-New Project Wizard,选择项目路径,输入项目名称:Example,以及顶层文件名:Example,其余选项采用默认选项,可直接点finish,若有原始文件,点next添加文件,9,QUARTUS II 新建项目,10,QUARTUS II 新建文件,1、新建项目:File-New,在项目Example中新建文件,因新建项目时顶层文件名为Example,所以主文件名为Example,11,QUARTUS II 新建文件,(1)、Block Diagram/Schematic File,原理图输入方式,易于理解,文件存放后缀为.bdf,(2)、Verilog HDL文件,主要用于用verilog HDL语言编写程序实现功能,文件存放后缀为.v,(3)、Vector Waveform File,仿真文件,主要用于进行软件仿真,文件存放后缀为.vwf,(4)、Memory Initialization File,配置文件,主要用于存储器部件rom,ram数据的写入,文件存放后缀为.mif,12,QUARTUS II 原理图设计例子,2、调用Quartus内部元件,可在工作区直接双击,再输入所需的元件名即可,例如调用元件库中的3-8译码器,只要输入74138即可,13,QUARTUS II 原理图设计例子,14,QUARTUS II 原理图设计例子,15,QUARTUS II 原理图设计例子,3、编译文件:文件建立完毕,点击右上角的紫红色按钮Start Compilation进行编译,16,QUARTUS II 原理图设计例子,17,QUARTUS II 原理图设计例子,18,QUARTUS II 原理图设计例子,4、进行软件仿真Example.vwf:左侧右键-Insert Node or Bus(或直接双击),在对话框中选择Node Finder,导入输入输出端,双击,19,QUARTUS II 原理图设计例子,20,QUARTUS II 原理图设计例子,21,QUARTUS II 原理图设计例子,22,QUARTUS II 原理图设计例子,23,QUARTUS II 原理图设计例子,24,QUARTUS II 原理图设计例子,5、在仿真文件中设置输入值,点右上角的蓝色按钮Start Simulation进行仿真,设置如下,双击设置时间点,25,QUARTUS II 原理图设计例子,26,QUARTUS II 原理图设计例子,27,QUARTUS II 原理图设计例子,28,QUARTUS II 原理图设计例子,29,QUARTUS II 原理图设计例子,30,QUARTUS II 原理图设计例子,最终设置,31,QUARTUS II 原理图设计例子,32,QUARTUS II 原理图设计例子,33,QUARTUS II Functional Simulation功能仿真,功能仿真:验证综合电路逻辑运算单元的正确性,不考虑器件的时延,算是理想状态下的仿真,Quartus II程序默认timing simulation,34,QUARTUS II Functional Simulation功能仿真,35,QUARTUS II Functional Simulation功能仿真,36,QUARTUS II Functional Simulation功能仿真,37,QUARTUS II Timing Simulation功能仿真,时序仿真:通过功能仿真验证设计文件的正确性,就压考虑综合电路遇到的时延问题。时序仿真同时验证电路的逻辑和时序正确性。,38,QUARTUS II 习题一,1-1 基于Quartus II软件,用D触发器设计一个2分频电路,并做波形仿真,在此基础上,设计一个4分频和8分频电路,做波形仿真。,选择菜单File-Create/Update-Create Symbol Files for Current File将二分频生成一个元件符号,以供调用,可用来生成4分频,8分频,当然也可以直接将2分频的输出信号作为下一个分频器的输入信号,39,编译注意,要设置正确的工作文件为Top-Level,40,编译注意,要设置正确和工作文件匹配的vwf文件,41,QUARTUS II 习题一,1-2 基于Quartus II软件,用7490设计一个能计时(12小时)、计分(60分)和计秒(60秒)的简单数字钟电路。设计过程如下:(1)先用Quartus II的原理图输入方式,用7490连接成包含进位输出的模60的计数器,并进行仿真,如果功能正确,则将其生成一个部件;(2)将7490连接成模12的计数器,进行仿真,如果功能正确,也将其生成一个部件;(3)将以上两个部件连接成为简单的数字钟电路,能计时、计分和计秒,计满12小时后系统清0重新开始计时。(4)在实现上述功能的基础上可以进一步增加其它功能,比如校时功能,能随意调整小时、分钟信号,增加整点报时功能等。,42,编译注意,要查找器件的资料,确保管脚定义,及其管脚工作状态,注意同步清零和异步清零的方法,43,QUARTUS II 习题一,1-3 基于Quartus II软件,用部分积右移方式设计实现一个4位二进制乘法器,选择合适的器件,画出电路连接,44,1-4 基于Quartus II软件,用74161设计一个模99的计数器,个位和十位都采用8421BCD码的编码方式设计,分别用置0和置1两种方法实现,完成原理图设计输入、编译、仿真和下载整个过程。,QUARTUS II 习题一,45,QUARTUS II 习题一,1-5 基于Quartus II软件,用7490设计一个模71计数器,个位和十位都采用8421BCD码的编码方式设计,完成原理图设计输入、编译、仿真和下载整个过程。1-6 基于Quartus II,用74283(4位二进制全加器)设计实现一个8位全加器,并进行综合和仿真,查看综合结果和仿真结果。,46,QUARTUS II 习题一,1-7 基于Quartus II,用74194(4位双向移位寄存器)设计一个“00011101”序列产生器电路,进行编译和仿真,查看仿真结果。1-8 基于Quartus II软件,用D触发器和适当的门电路实现一个输出长度为15的m序列产生器,进行编译和仿真,查看仿真结果。,47,QUARTUS II 习题一,1-9 用D触发器构成按循环码(000-001-011-111-101-100-000)规律工作的六进制同步计数器。1-10 用74194、74273、D触发器等器件组成8位串入并出的转换电路,要求在转换过程中数据不变,只有当8位一组数据全部转换结束后,输出才变化一次。,48,QUARTUS II 习题一,1-9 用D触发器构成按循环码(000-001-011-111-101-100-000)规律工作的六进制同步计数器。1-10 用74194、74273、D触发器等器件组成8位串入并出的转换电路,要求在转换过程中数据不变,只有当8位一组数据全部转换结束后,输出才变化一次。,49,QUARTUS II 习题一,1-11 设计一个运算电路,实现两个二位二进制数的平方和。1-12 用双2线4线译码器74LS139扩展成3线8线译码器。1-13 用74LS283设计四位二进制加减计算器 1-14 用74LS283完成四位二进制乘法运算1-15 用74LS290级联实现模87计数器,50,QUARTUS II 习题一,1-16 用74LS161及门电路产生序列信号发生器 1-17 用74LS161及74LS138产生节拍发生器 1-18 用移位寄存器设计序列信号发生器 1-19 用D触发器设计只有八个状态的四级约翰逊计数器 1-20 用JK触发器设计同步五进制加法计数器,51,QUARTUS II 基于LPM宏单元库的设计,乘法器模块 计数器模块 锁相环模块 存储器模块 其他模块,内容,52,QUARTUS II 基于LPM宏单元库的设计,Megafunction库是Altera提供的参数化模块库。从功能上看,可以把Megafunction库中的元器件分为:算术运算模块(arithmetic)逻辑门模块(gates)储存模块(storage)IO模块(I/O),53,QUARTUS II 基于LPM宏单元库的设计,算数运算模块库,54,QUARTUS II 基于LPM宏单元库的设计,参数化乘法器lpm_mult宏功能模块的基本参数表,lpm_mult,55,QUARTUS II 基于LPM宏单元库的设计,(1)调用lpm_mult,56,QUARTUS II 基于LPM宏单元库的设计,(2)lpm_mult参数设置,输入输出位宽设置,乘法器类型设置,57,QUARTUS II 基于LPM宏单元库的设计,8位有符号乘法器电路,58,QUARTUS II 基于LPM宏单元库的设计,计数器模块,计数器输出端口宽度和计数方向设置,59,QUARTUS II 基于LPM宏单元库的设计,计数器模和控制端口设置,60,QUARTUS II 基于LPM宏单元库的设计,更多控制端口设置,61,QUARTUS II 基于LPM宏单元库的设计,模24方向可控计数器电路,lpm_counter计数器功能仿真波形,62,QUARTUS II 基于LPM宏单元库的设计,参数化锁相环宏模块altpll以输入时钟信号作为参考信号实现锁相,从而输出若干个同步倍频或者分频的片内时钟信号。与直接来自片外的时钟相比,片内时钟可以减少时钟延迟,减小片外干扰,还可改善时钟的建立时间和保持时间,是系统稳定工作的保证。不同系列的芯片对锁相环的支持程度不同,但是基本的参数设置大致相同,下面便举例说明altpll的应用。,锁相环模块,63,QUARTUS II 基于LPM宏单元库的设计,(1)输入altpll宏功能模块,选择芯片和设置参考时钟,64,QUARTUS II 基于LPM宏单元库的设计,锁相环控制信号设置,65,QUARTUS II 基于LPM宏单元库的设计,输入时钟设置,66,QUARTUS II 基于LPM宏单元库的设计,(2)编译和仿真,锁相环电路,功能仿真波形,67,QUARTUS II 基于LPM宏单元库的设计,ROM(Read Only Memory,只读存储器)是存储器的一种,利用FPGA可以实现ROM的功能,但其不是真正意义上的ROM,因为FPGA器件在掉电后,其内部的所有信息都会丢失,再次工作时需要重新配置。Quartus II提供的参数化ROM是lpm_rom,下面用一个乘法器的例子来说明它的使用方法,这个例子使用lpm_rom构成一个4位4位的无符号数乘法器,利用查表方法完成乘法功能。,存储器模块,68,QUARTUS II 基于LPM宏单元库的设计,数据线、地址线宽度设置,69,QUARTUS II 基于LPM宏单元库的设计,控制端口设置,70,QUARTUS II 基于LPM宏单元库的设计,添加.mif文件,71,QUARTUS II 基于LPM宏单元库的设计,如下图所示就是基于ROM实现的4位4位的无符号数乘法器电路图,其参数设置为:LPM_WIDTH=8LPM_WIDTHAD=8LPM_FILE=mult_rom.mif,72,QUARTUS II 基于LPM宏单元库的设计,73,QUARTUS II 基于LPM宏单元库的设计,其他模块,Maxplus2库主要由74系列数字集成电路组成,包括时序电路宏模块和运算电路宏模块两大类,其中时序电路宏模块包括触发器、锁存器、计数器、分频器、多路复用器和移位寄存器,运算电路宏模块包括逻辑预算模块、加法器、减法器、乘法器、绝对值运算器、数值比较器、编译码器和奇偶校验器。对于这些小规模的集成电路,在数字电路课程中有详细的介绍。他们的调入方法和Megafunction库中的宏模块是一样的,只是端口和参数无法设置。,74,QUARTUS II 基于LPM宏单元库的设计,计数器74161设计举例,模10计数器,75,QUARTUS II 基于LPM宏单元库的设计,模10计数器仿真波形,76,习题,1 采用Quartus II软件的宏功能模块lpm_counter设计一个模为60的加法计数器,进行编译和仿真,查看仿真结果。2 采用Quartus II软件的宏功能模块lpm_rom,用查表的方式设计一个实现两个8位无符号数加法的电路,并进行编译和仿真。3 先利用LPM_ROM设计4位4位和8位8位乘法器各一个,然后用Verilog语言分别设计4位4位和8位8位乘法器,比较两类乘法器的运行速度和资源耗用情况。4 用数字锁相环实现分频,假定输入时钟频率为10MHz,想要得到6MHz的时钟信号,试用altpll宏功能模块实现该电路。,

    注意事项

    本文(2 Quartus II及其原理图设计.ppt)为本站会员(sccc)主动上传,三一办公仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一办公(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    备案号:宁ICP备20000045号-2

    经营许可证:宁B2-20210002

    宁公网安备 64010402000987号

    三一办公
    收起
    展开