欢迎来到三一办公! | 帮助中心 三一办公31ppt.com(应用文档模板下载平台)
三一办公
全部分类
  • 办公文档>
  • PPT模板>
  • 建筑/施工/环境>
  • 毕业设计>
  • 工程图纸>
  • 教育教学>
  • 素材源码>
  • 生活休闲>
  • 临时分类>
  • ImageVerifierCode 换一换
    首页 三一办公 > 资源分类 > DOC文档下载
     

    毕业设计论文基于AT89C51单片机的交通信号灯控制系统设计.doc

    • 资源ID:4860479       资源大小:326.52KB        全文页数:27页
    • 资源格式: DOC        下载积分:10金币
    快捷下载 游客一键下载
    会员登录下载
    三方登录下载: 微信开放平台登录 QQ登录  
    下载资源需要10金币
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    毕业设计论文基于AT89C51单片机的交通信号灯控制系统设计.doc

    沈阳大学职业技术学院毕业设计(论文)摘要近年来随着科技的飞速发展,单片机的应用正在不断地走向深入,同时带动传统控制检测日新月异更新。在实时检测和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,单片机技术已是从事机电控制工作的一项重要技能,目前单片机已广泛地用于现代工业控制自动化系统,十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的是交通信号灯的自动指挥系统。交通信号灯控制方式很多。 本文首先介绍了组合逻辑电路的组合逻辑电路的特点、一般分析方法和一般设计方法以及常见的几种组合逻辑电路,并利用组合逻辑电路设计出交通信号灯的控制电路。其次主要介绍中规模集成电路设计逻辑电路的应用。重点介绍AT89C51单片机控制交通信号灯的工作原理及其硬件电路设计,其中,对AT89C51单片机芯片及交通信号灯模型作了较详细介绍。最后简单介绍了本系统可改进的地方。关键词:AT89C51单片机 交通信号灯 无线收发 LED 数码管Abstract: Operation principle and hardware circuit utilizing AT89C51 one-chip computers to control the traffic signal lamp are designed. The article has done more detailed introduction to AT89C51 one-chip computer chips and this traffic signal lamp model. Introduced this systematic place where we can improve briefly finally.Keywods:AT89C51、LED、The number managing引言   早在1850年,城市交叉口处不断增长的交通就引发了人们对安全和拥堵的关注。第一次对交叉路口交通的控制尝试起源于1868年英国伦敦,当时由警察手工轮流变换指挥用的旗帜,当时的控制指令可以称之为旗语。这种控制方式在1908年传到美国纽约,并且很快在全国传播开来。城市电气化的发展导致了1914年在俄亥俄州的克利夫兰市出现了第一台电力驱动的交通信号灯。1919年,纽约市开始把手动指挥的旗子换成了电机控制的信号灯。在1923年,Garrett Morgan申请专利Morgan交通信号灯,后来卖给了通用电气公司。到1932年,在布鲁克林市Parkside大街上的最后一个手动控制的旗子也被电机控制信号灯取代。从1920年到1970年近50年的时间里,电机驱动的信号灯占据了交通信号控制系统的主要市场。周期长度是通过安装合适的齿轮来进行保证的,通过在一个计时转盘上插入销子来把周期分成不同的时间间隔。为了适应交通变化的需要,这种划分时间的方式被称为“三个时段”划分法。同时为了保证相邻的交叉口能够在一个交通信号系统中以预计的信号周期、绿信比和相位差下工作,一种“七条线缆”连接的方式发展起来,以使相邻的电机驱动的信号灯能够在一种系统控制的方式下工作,即使现在我们步入了新千年,在一些城市的某些地方仍然使用这种基于三个时段划分周期的信号控制器和七条线缆连接的系统。甚至,大部分在电机驱动的系统中发展起来的术语到现在仍然在现代的微处理控制器中使用。在20世纪60年代早期,计算机被引进到交通信号控制系统中。在1963年,第一个计算机控制的交通信号控制系统在加拿大的多伦多市安装,到20世纪70年代,微处理器被普遍使用,相应的硬件和软件也开始起步。随着我国经济的高速发展,人们对私家车、公交车的需求越来越大。相应地,我国进入WTO以后,我国经济贸易与世界接轨,汽车业关税大大降低,使很多人都能负担得起,买私家车不再是梦想。但是,私家车、公交车的大增无疑会对我国交通系统带来沉重的压力。放眼现在的中国,如广州、香港、上海等大都市,无不受到交通堵塞的困扰。中国要发展,交通事业决不能停步不前。有及于此,我国交通管制系统应当以人性化、智能化为目的,作出相应的改善。本论文正是以此为出发点,对单片机控制的交通信号灯模型作了较详尽的介绍。1、组合逻辑电路1)什么是组合逻辑电路?    在数字电路中,任何时刻输出信号的稳态值仅决定于该时刻各个输入信号取值的组合,而与先前状态无关的逻辑电路叫组合逻辑电路。组合逻辑电路的输入信号和输出信号常常不止一个,组合逻辑电路框图如图2-24所示,其输出信号的一般表达式可以写为     Li=?(A1,A2,An)  (i=1,2,,m) 2)组合逻辑电路的特点: 输出输入之间没有反馈延迟通道,电路中不含记忆单元,输出仅取决于该时刻的输入而与电路原状态无关。3)组合逻辑电路的一般分析方法(组合逻辑电路图求解逻辑功能): 组合逻辑电路图列出逻辑函数表达式(迭代法,由输入逐级向后推) 求标准表达式或简化的表达式(转换或化简) 列出相应的真值表判断电路功能。 举例:分析该图逻辑电路(1) 迭代法求输出逻辑表达式,如图: E=AB,D=AC,G=BC,F=E+D+G=AB+AC+BC(2)求标准表达式或简化的表达式(转换或化简)(3)列出相应的真值表由真值表可以看出,该逻辑电路是一个三人多数表决电路。4)组合逻辑电路的一般设计方法:根据设计要求(要实现的逻辑功能)画出逻辑电路图.设计要求列出真值表(确定输入、输出变量及它们的逻辑关系) 化简写出简化的逻辑表达式(或转换成逻辑器件所需的表达形式)画出逻辑图。 举例:设计一个异或电路.(1)    该电路有两个输入A、B和一个输出F,根据异或的逻辑关系列出真值表 (2)   由真值表写出逻辑表达式(化简或转换)F=(3)  画出逻辑图在这里设计一个监视交通信号灯状态的逻辑电路。每一组信号灯均由红、黄、绿三盏灯组成,如图所示。正常工作情况下,任何时刻必有一盏灯点亮,而且只允许有一盏灯点亮。而当出现其他五种点亮状态时,电路发生故障,这时要求发出故障信号,以提醒维护人员前去修理。 按照组合逻辑电路的设计步骤进行设计,得到实现监视交通信号灯状态的逻辑电路。 实验仪器序 号名 称主要用途1数字电路实验装置连接电路、显示输入和输出信号2万用表 1只测量电阻、电压、电流3元器件: 74LS00 74LS04 74LS20 各一块利用集成电路实现逻辑功能4导线 若干连接电路实验内容和步骤1、按照组合逻辑电路的设计步骤进行设计,根据实验室条件,选择用反相器、与非门实现监视交通信号灯状态的逻辑电路。2、按照原理图进行电路的连接。3、根据实验数据填写真值表,验证逻辑功能。输入变量输出RAGZ0000010100111001011101115) 组合逻辑电路中的竞争和险象:竞争:因门电路的传输时延而造成多路信号由于经过不同路径产生的时差现象;险象:由竞争产生的错误输出;检查(产生条件:输入存在互补变化;消除:添加冗余项).竞争 逻辑险象 6)常见的五种组合逻辑电路:着重于其功能和输出与输入的对应逻辑关系。(1)编码:将输入信号转换成对应的数码信号;编码器:互斥输入,方块图、逻辑图。优先编码,方块图、逻辑图 。(2)译码:将输入的码组翻译变换成对应的输出信号,是编码的逆过程;译码器:二进制译码器, 方块图、逻辑图。数字显示译码器:七段显示,十进制数字显示。(3)多路选择器:又叫数据选择器,在地址输入端的控制下从多路数据输入中选择一个送到公共输出端。方块图 ,逻辑图 。(4)数值比较器:比较两个二进制数的大小。一位二进制数比较器,二位二进制数比较器。(5)加法器:实现二进制数加法运算。全加器,逐位进位加法器,加法器。逐位进位,超前进位形成电路、三位。7) 中规模集成组合逻辑电路及应用:应用着重于扩展(分级扩展和级联扩展)和实现组合逻辑函数(重点多路选择器和译码器)。(1)中规模集成译码器74139:2线-4线译码器,功能表、逻辑图;74154:4线-16线译码器,功能表、逻辑图;分级扩展:下图利用允许端用一片74139和四片74154扩展为6线-64线译码器 级联扩展(补充):用二片74139实现3线-8线译码器; (2)中规模集成多路选择器74153:双4选1;分级扩展:用五片74153扩展为双16选1;级联扩展(补充):用74153实现8选1;实现组合逻辑函数:用8选1和4选1实现三变量函数用8选1;用4选1注:利用对比真值表的方法欠简单明嘹,可用多路选择器的输出表达式和逻辑函数表达式对比的方法; (3) *中规模集成数值比较器;级联扩展:串行、并行比较, (a)串行比较 (b)并行比较 (4) *中规模集成加法器;(5) *中规模集成优先编码器。了解了组合逻辑电路,我们再来看看单片机。   单片机无线收发控制的交通信号灯模型可以分为电源电路、单片机主控电路、无线收发控制电路和显示电路四部分组成,组成电路如图1:                                                               图1    由于显示部分都是采用三色LED和数码管模拟,比较简单,所以在此不作详尽叙述。下面主要叙述单片机主控电路、直流电源的组成及其原理。2、单片机主控电路1) 主要元器件介绍单片机主控电路的主要元件是AT89C51,其外型如图:AT89C51是一个低电压,高性能CMOS 8位单片机,片内含4k bytes的可反复擦写的只读程序存储器(PEROM)和128 bytes的随机存取数据存储器(RAM),器件采用ATMEL公司的高密度、非易失性存储技术生产,兼容标准MCS-51指令系统,片内置通用8位中央处理器和Flash存储单元,内置功能强大的微型计算机的AT89C51提供了高性价比的解决方案。 AT89C51是一个低功耗高性能单片机,40个引脚,32个外部双向输入/输出(I/O)端口,同时内含2个外中断口,2个16位可编程定时计数器,2个全双工串行通信口,AT89C51可以按照常规方法进行编程,也可以在线编程。其将通用的微处理器和Flash存储器结合在一起,特别是可反复擦写的Flash存储器可有效地降低开发成本。2)管脚说明: VCC:供电电压。 GND:接地。 P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。    P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。     P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。    P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。P3口也可作为AT89C51的一些特殊功能口,如下表所示:口管脚 备选功能 P3.0 RXD(串行输入口) P3.1 TXD(串行输出口) P3.2 /INT0(外部中断0) P3.3 /INT1(外部中断1) P3.4 T0(记时器0外部输入) P3.5 T1(记时器1外部输入) P3.6 /WR(外部数据存储器写选通) P3.7 /RD(外部数据存储器读选通) P3口同时为闪烁编程和编程校验接收一些控制信号。RST:复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。 ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR8EH地址上置0。此时, ALE只有在执行MOVX,MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,置位无效。    /PSEN:外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现。    /EA/VPP:当/EA保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),不管是否有内部程序存储器。注意加密方式1时,/EA将内部锁定为RESET;当/EA端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于施加12V编程电源(VPP)。    XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。    XTAL2:来自反向振荡器的输出。韩克、柳秀山 电子技能与EDA技术M.暨南大学出版社 20053) 系统模拟以下交通情况 (1) 正常情况下,A、B道(A、B道交叉组成十字路口,A是主道,B是支道)轮流放行,A道放行60秒(两个数码管从60秒开始倒数,其中5秒用于警告),B道放行30秒(两个数码管从30秒开始倒数,其中5秒用于警告)。 (2) 一道有车而另一道无车(用按键开关S1、S2模拟)时,使有车车道放行。 (3) 有紧急车辆通过(用按键开关S0模拟)时,A、B道均为红灯(两个数码管显示00)。4) 设计思路 (1) 正常情况下运行主程序,采用0.5秒延时子程序的反复调用来实现各种定时时间; (2) 一道有车而另一道无车时,采用外部中断1方式进入与其相适应的中断服务程序,并设置该中断为低 优先级中断; (3) 有紧急车辆通过时,采用外部中断0方式进入与其相适应的中断服务程序,并设置该中断为高优先级中断,实现中断嵌套。 5) 硬件设计如图       根据上图所示,本系统利用12MHZ晶振和两个瓷片电容并联为AT89C51单片机提供工作频率,用12只发光二极管模拟交通信号灯,以AT89C51单片机的P1口控制12只发光二极管。在P1口与二极管之间采用PNP三极管作推动管,口线输出高电平则“信号灯”熄,口线输出低电平则“信号灯”亮。 各口线控制功能及相应控制码如表1所示。P1.7P1.6P1.5P1.4P1.3P1.2P1.1P1.0P1端口数据状态说明空空B线绿灯B线黄灯B线红灯A线绿灯A线黄灯A线红灯11110011F3HA线放行,B线禁止11110101F3HA线警告,B线禁止11011110DEHA线禁止,B线放行11101110EEHA线禁止,B线警告表1用数码管模拟交通灯上的数字显示板。数码管的七段由AT89C51的P0(P0.0-P0.6)口控制,两个数码管由P2(P2.0-P2.1)口选通,中间由PNP三极管作为推动管。 分别以S1、S2模拟A、B道的车检测信号,当S1、S2为高电平(不按按键)时,表示有车;当S1、S2为低电平(按下按键)时,表示无车。当S1、S2属不同值时,表示一道有车一道无车,信号经74LS04,74LS86后,输入到P3.3口,触发外部中断1,AT89C51单片机经查询后,对有车的车道放行,绿灯亮;对无车的车道禁止放行,红灯亮。当S0为低电平(按下按键)时,触发外部中断0,单片机经查询后,对两车道都禁止放行,全显示红灯,数码管显示00,对紧急车辆放行。3、电源电路    从图3可知,无论是AT89C51单片机工作电源、二极管还是数码管的驱动,都要用到+5V的直流电源,所以,一个稳定的、持续的+5V直流电源对本系统十分重要。本系统运用桥式整流电路,将交流转换为直流,为各部分电路提供恒定的+5V直流。模拟部分和数字部分分别采用一个独立的稳压管供电,保证电路的稳定性和抗干扰,其电路如图                                                                 1) 主要元器件介绍 DB为全波整流电桥,其内部结构如图5                                                                 图5其工作原理如下:电桥1、3端接交流电源,2、4为支直流输出端。当某一时刻,交瞬时值为上+下-(即1端为+,3端为-),电流从1端输入,经1、2间的二极管到2端,再经2、4端的负载流到4端,然后经3、4间的二极管流回交流负端;同理,电流从3端流入,从1端流回交流负端。2) 电源电路工作原理 从接口J1输入的9V左右的交流电压(波形如图7所示),经全波整流电桥DB整流后,得到一幅值为0-8V左右的波动直流(如图8所示)。这一波动的直流经C1、C2、C3滤波后,得到一较平稳的直流,再经 LM7805稳压为+5V,C4再次滤波后,得到稳定的+5V直流电流(如图9所示),为系统无线电接收发模块和解码芯片PT2272路供电。Q1为继电器驱动管,当其基极接收到解码芯片的高电平时,继电器吸合,K1接通,电压经7805稳压后为AT89C51开机供电。4、无线电遥控收发控制电路:    无线发射,接收控制有两部分组成,如图10所示,发射部分采用编码芯片PT2262和DF数据发射模块,接收部分主要由解码芯片PT2272、DF接收模块组成。为简化电路,发射接收部分采用现成的收发芯片,其工作频率为315M,采用FM方式调制。S1-S4为脉冲编码开关,按下后在接收端解码后将输出相应的电平控制电路的开机和Q9Q11组成的电子开关的工作。1) 编码芯片PT2262芯片原理简介:     PT2262/2272是台湾普城公司生产的一种CMOS工艺制造的低功耗低价位通用编解码电路,PT2262/2272最多可有12位(A0-A11)三态地址端管脚(悬空,接高电平,接低电平),任意组合可提供531441地址码,PT2262最多可有6位(D0-D5)数据端管脚,设定的地址码和数据码从17脚串行输出,可用于无线遥控发射电路。2)解码芯片PT2272芯片原理简介:    编码芯片PT2262发出的编码信号由:地址码、数据码、同步码组成一个完整的码字,解码芯片PT2272接收到信号后,其地址码经过两次比较核对后,VT脚才输出高电平,与此同时相应的数据脚也输出高电平,如果发送端一直按住按键,编码芯片也会连续发射。当发射机没有按键按下时,PT2262不接通电源,其17脚为低电平,所以315MHz的高频发射电路不工作,当有按键按下时,PT2262得电工作,其第17脚输出经调制的串行数据信号,当17脚为高电平期间315MHz的高频发射电路起振并发射等幅高频信号,当17脚为低平期间315MHz的高频发射电路停止振荡,所以高频发射电路完全收控于PT2262的17脚输出的数字信号,从而对高频电路完成幅度键控(ASK调制)相当于调制度为100的调幅。                                                             图105、软件设计过程:(参考)    主程序是采用查询方式定时,由R2寄存器确定调用0.5S延时子程序的次数, 从而获取交通灯的各种时间。子程序采用定时器1方式1,查询式定时,定时器定时50ms,R3寄存器确定循环10次,从而获取0.5S的延时时间。    一道有车而另一道无车的中断服务程序首先要保护现场,因而需用到延时子程序和P1口,故需保护的寄存器有R3、P1、TH1和TL1。保护现场时还需关中断,以防止高优先级中断(紧急车辆通过所产生的中断)出现时导致程序混乱。然后,关中断,恢复现场,再开中断,返回主程序。    紧急车辆出现是的中断服务程序也需要保护现场,但无需关中断(因其为高优先级中断),然后执行相应的服务,待交通灯信号出现后延时20S,确保紧急车辆通过交叉路口。然后,恢复现场,返回主程序。交通信号灯模拟控制系统主程序及中断服务程序的流程图如下图所示。紧急情况时的中断服务程序(INT0)                                                           图136、系统可改进的地方系统可加装热能探测器,探测出车辆内燃机运转辐射出的热量,从而能使单片机判断出哪条道有车,哪条道无车,能对路面交通状况作出迅速反应,从而提高交通效率。 系统应装置红外线接收器,相应地,紧急车辆(如消防车、救护车等)上应当装置红外线放射器。这样,在离交通信号灯远处,紧急车辆就可以开红外线放射器使交通信号灯全部显示红灯,避免因交通问题导致不必要的人命伤亡和金钱损失。 系统可装置一点阵式LED中文显示屏,用以显示温度、天气情况、空气指数等,方便司机对外界情况的了解。 多加了这些功能后,无疑会使成本增加,但是这样确实可以提高交通效率,疏通交通堵塞和避免不必要的损失。结束语随着交通控制更加趋向智能化方向发展的趋势,本文首先介绍了组合逻辑电路的组合逻辑电路的特点、一般分析方法和一般设计方法以及常见的几种组合逻辑电路,并利用组合逻辑电路设计出交通信号灯的控制电路。其次主要介绍中规模集成电路设计逻辑电路的应用。重点介绍AT89C51单片机控制交通信号灯的工作原理及其硬件电路设计,其中,对AT89C51单片机芯片及交通信号灯模型作了较详细介绍。最后简单介绍了本系统可改进的地方。为开发研制使交通更畅通而便捷的交通信号控制电路奠定基础。    现在,我国已经成功申办2008年奥运会和2010年亚运会,届时,各国人士欢聚在中国,必定会给我国交通带来一定的压力。如果我们能开发研制出使交通更畅通而便捷的交通信号控制电路,将我国交通管理好了,不但给各国旅客留下美好的回忆,而且间接提高了中国的国际竞争力,提高我国的国际地位。致 谢在我完成这篇毕业论文的过程中,首先要感谢指导教师戴玉梅老师的耐心辅导与帮助。其次要感谢各个老师及辅导员的信息指导。最后感谢三年来教授我知识的各位教师。没有各位教师的指导与帮助就没有我今天的成绩。在这里我向他们表示深深的感谢。参考文献1.阎石主编·数字电子技术基础·高等教育出版社·19982.陈明义主编·电子技术课程设计实用教程·中南大学出版社·20023.张玉璞,李庆常主编·电子技术课程设计·北京理工大学出版社·19944李群芳、肖看 单片机原理、接口及应用-嵌入式系统技术基础M. 清华大学出版社20065靳达单片机应用系统开发实例导航M. 人民邮电出版社 20036.沈德全MCS51系列单片机接口电路与应用程序实例M. 北航出版社20017.韩克、柳秀山 电子技能与EDA技术M.暨南大学出版社 20058.阎石 数字电子技术基础M. 高等教育出版社 19989.何立民.MCS51系列单片机应用系统设计北京航空航天大学出版社.199010.杨将新.单片机程序设计及应用从基础到实践电子工业出版社.200611.孟风果、曹振军.单片机应用自学通 中国电力出版社.200512.陈汝全主编. 电子技术常用器件应用手册. 北京:机械工业出版社 ,2001年1月第2版13.余孟尝主编. 数字电子技术基础简明教程. 北京:高等教育出版社,1999年10月第2版14.陈永甫编著. 电子电路智能化设计实例与应用第一集. 北京:电子工业出版社,2002年7月第1版15.全国大学生电子设计竞赛组委会编. 全国大学生电子设计竞赛获奖作品选编. 北京:北京理工大学出版社,2005年3月第1版16.美Myke Predko 著 张晓林 等译. 数字电路原理与设计实例解析(Digital Electronics Guidebook With Projects). 北京:电子工业出版社,2004年2月17.李广弟,朱月秀,王秀山编著. 单片机基础(修订本).北京:北京航空航天大学出版社,2001年7月第2版18.Brightek,WH2000A/B,使用手册27

    注意事项

    本文(毕业设计论文基于AT89C51单片机的交通信号灯控制系统设计.doc)为本站会员(sccc)主动上传,三一办公仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一办公(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    备案号:宁ICP备20000045号-2

    经营许可证:宁B2-20210002

    宁公网安备 64010402000987号

    三一办公
    收起
    展开