欢迎来到三一办公! | 帮助中心 三一办公31ppt.com(应用文档模板下载平台)
三一办公
全部分类
  • 办公文档>
  • PPT模板>
  • 建筑/施工/环境>
  • 毕业设计>
  • 工程图纸>
  • 教育教学>
  • 素材源码>
  • 生活休闲>
  • 临时分类>
  • ImageVerifierCode 换一换
    首页 三一办公 > 资源分类 > DOC文档下载  

    本科毕业论文基于FPGA的液晶显示装置.doc

    • 资源ID:4025762       资源大小:698.50KB        全文页数:23页
    • 资源格式: DOC        下载积分:8金币
    快捷下载 游客一键下载
    会员登录下载
    三方登录下载: 微信开放平台登录 QQ登录  
    下载资源需要8金币
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    本科毕业论文基于FPGA的液晶显示装置.doc

    学号:1003618049河南大学民生学院毕 业 论 文( 2014届)年 级 2010级 专 业 班 级 电子信息科学与技术专业 学 生 姓 名 指导教师姓名 指导教师职称 论文完成时间 目 录摘 要:10 前言11 绪论1 1.1 LCD的发展现状.21.2 LCD显示器的简介22 要技术简介22.1 TC1602简介22.2 1602引脚及功能说明32.3 LCD1602显示模块指令集3 2.4 1602液晶显示器的操作控制3 2.5 FPGA的简介33 系统设计33.1 FPGA的设计流程43.2设计流程论述 44 实现部分54.1 源程序代码54.2 仿真波形图54.3仿真电路图54.4顶层模块65 结论6参考文献12基于FPGA的液晶显示装置()摘 要: 本文介绍了一种LCD显示装置设计方法,该设计是基于控制核心为高度集成的FPGA,用verilog语言编写程序,运用状态机作为主体程序的主要控制方式,采用点阵图形为5*7的TC1602液晶模块进行显示,兼顾程序的易用性,以便后期调用程序,最终实现LCD显示屏控制系统的硬件和软件设计及其所要完成的各项功能。关键词: FPGA , LCD显示屏 ,VerilogLiquid crystal display device based on FPGAWang chong(School of Physics and Electronics, Henan University, Henan Kaifeng 475004, China)Abstract: This discourse introduce a design method of display screen of LED and the design is based on the control core of FPGA which is highly integrated, and Using language of verilog to write the program.Using the state machine as the main control mode of the main program。 Using lattice graphics LCD module 5*7 for TC1602 display,and careing the procedure easy to use, so that later the calling program,then realization of hardware and software design of LED display control system and all the other functions at the last.Key words: FPGA; display screen of LCD ; Verilog.0 前言LCD显示装置是集中多种电子信息技术于一体的一种显示体系,包括电子,信息及计算机技术等多种技术,此显示系统涉及方面十分广泛,例如交通方面,车载电子信息显示,气象信息,甚至教学方面等大多数的领域,并且在这些领域发挥了很大的作用。由于最初LCD显示装置多使用于单片机上面,用于对逻辑电路以及存储器进行PCB板的系统集成,因此最初的LCD显示装置的灵活性和稳定性会受到一定程度的影响。而当核心控制模块是基于FPGA时,由于模块内部具有非常丰富的布线资源,而且可编程的逻辑单元又很多,因此,当有较大数据在进行处理的时候,则其应用更会具有明显的优势1。1.绪论1.1 LCD的发展现状随着科技的进步,信息时代的到来,科学技术的发展可谓是日新月异,尤其是近年来,科技日益突破,市场对科学技术的需求也在逐渐增加,因此以显示技术为代表的科学技术在信息时代的背景下如雨后春笋,迅速萌发并崛起。在20世纪中末时期,那时的科技还不够发达,显示技术大多用在电子计算器等领域,而历史上第一台比较正规的液晶显示装置是20世纪下叶的,名字叫做TN-LCD。在此基础上更进一步发展的是TFT-LCD液晶显示器,并在当前PDF技术中成为了支柱产品。显示器在人与机器的联合中起到了非常之重要的桥梁的作用,早期的主要是显像管,显示器(CRT/Cathode Ray Tube),近年来,由于LCD具有以下特有的优点:(1)需要的电压比较;(2)工作时消耗能量较小;(3)对体积要求不高;(4)电磁辐射小;(5)进行画面实现的时候很方便,色彩显示丰富;(6)在定位方面等领域有广泛用途;(7)影像画面显示的时候不会出现晃闪的情况等优势已经逐渐在市场上占据主导地位,并且目前的使用量最大。液晶显示控制器依靠集成的电路组成,是驱动电路的核心部分,不但提供了显示系统的信号,而且还提供了数据,以此来完成最终的的实现。此次设计是一种基于现场可编程门阵列的液晶显示控制器,它使用时非常灵活,对于不同的显示模块,可以因地制宜的进行信号的更改和显示数据变化。FPGA 由于可以高度集成、而且便,并结合其面积方面的优势,因此性价比很高。液晶显示控制器作为液晶驱动电路的核心部件通常由集成电路组成,通过为液晶显示系统提供时序信号和显示数据来实现液晶显示。与集成电路控制器相比,FPGA更加灵活,可以针对小同的液晶显示模块更改时序信号和显示数据。FPGA 的集成度、复杂度和面积优势使得其日益成为一种颇具吸引力的高性价比ASIC替代方案4。显示技术和显示器已经成为信息时代和信息产业中举足轻重的一部分,并且不断地在推进其进程。近年来,FPD市场的规模正在迅速扩大,可以说显示器及显示技术一定会成为这个世纪最炙手可热的方向,其产业和市场在不断扩增之中逐步占领市场主导地位。1.2 LCD显示器的简介LCD的构造需要几部分:(1)玻璃 (2) 液态晶体(3) 电线(要求既细又小,并且在使用时要互相垂直摆放)3。然后它会对各个液晶单元供电,然后一旦通电分子形状就会发生改变,进而通过分子分布方向的不同将不同的光线通过折射、发射出来,因此就产生了画面。1.2.1高质量显示由于液晶显示器的特性,因此它跟阴极射线管显示器有着明显的差别,CRT显示的时候对亮点的我刷新不能停止,一旦停止,显示画面就达不到要求.而LCD一旦接受到信号,就会恒定的发光。因此,具有着高质量的视觉画面,当然这对使用者对眼睛也起到了很好的保护作用。1.2.2没有电磁辐射 传统显示器是以荧光粉作为其显示材料,通过当荧光粉受到了电子束碰触而显示,产生电磁辐射是显示器实现功能过程中,当荧光粉上有被电子束打到上的瞬间产生,并且产生的电磁辐射很大6。虽然随着现在科技的进步现在很多厂家对他们所生产的显示器就关于辐射的相关方面进行了行之有效的弊端问题的处理,但是要对其彻底消除则是非常有难度的。对而言之,液晶显示器先天的优势就显现出来了,对于它辐射方面根本不必多虑,因为它根本就不存在辐射。并且对液晶显示器而言,就防范电磁波方面,他也有自己的制胜法宝,严闭的密封方面技术的应用使得自驱动电路中产生的的少量电磁波在显示器中被封闭起来,而对于普通显示装置而言的话,由于为了更好的向外界散发热量,则必须尽可能地让电路与外界接触,因此电磁波就会由电路系统内部大量的向外界散发出来。1.2.3可视面积大 液晶显示器的可视面积对于相同尺寸的显示器来说要更大一些。液晶显示器的可视面积跟它的对角线尺寸相同。而阴极射线管显示器,显像管前面板四周有一英寸左右的边框,无法用于显示。1.2.4应用范围广最初的液晶显示器由于难以显示细小并且繁琐的非常用字符,因此通常的应用范围很窄。随着显示技术日新月异的进步和发展,人们对字符显示水平的的要求也在逐步增高,同时也不只是限于黑白色彩,对于色彩的显示也出现多样化,并逐步适用于电子游戏机,平板类电视、摄像机装置上。而随后出现的TFT,DSTN显示屏在面积,辐射质量等方面都有着很高的标准,所以很多都用在了电子设备上面,在笔记本电脑上和主流台式显示器上TFT都得到了广泛的应用;而DSTN液晶显示屏用于早期的笔记本电脑2。1.2.5画面效果好 与传统显示器相比,液晶显示器一开始就使用纯平面的玻璃板,其显示效果是平面直角的,让人有一种耳目一新的感觉。而且液晶显示器对屏幕面积的要求小,在面积较小的上面实现更为容易一些,并且得到的结果的分辨率很高,例如,若分辨率为1280×1024,则在18英寸的液晶显示器上实现出的结果还不错,而通常1280×1024以上分辨率在19英寸CRT彩显上使用,实现后的的画面效果是不太理想的。1.2.6数字式接口液晶显示器与阴极射线管彩显比起来很明显的区别是接口不同。前者是数 字连接,后者是模拟接口。也就是说,使用液晶显示器时,显卡不需要在数字和模拟两种信号直接进行转换就可以直接输出了。理论上,这会使色彩和定位都更加准确完美。2主要运用技术简介2.1 TC1602简介TC 1602晶模块是一种用5*7点阵图形来显示字符的液晶显示器,根据显示的容量可以分为一行十六个字,两行十六个字,两行二十个字等,但最常用的是两行十六个字。现在市场上所具有的字符液晶,其实质大部分都是基于HD44780液晶芯片的而做成的,但是控制原理相同,正式由于这个原因基于HD44780所写的控制程序用起来很方便,贴近生活,这种液晶显示器适用于市场上绝大部分的字符型液晶。主要参数: 逻辑工作电压(VDD):+4.5+5.5 LCD驱动电压:(VDDVL):+4.5+13.0v 工作电流:<2.0mA 工作温度:060(常温)| -2075 (宽温)1602字符液晶模块特点:(1) 可与八位或思维处理器直接连接。(2)内置只读存储器可以提供百余种标准工业字符(包括全部阿拉伯数字,大小写字母以及特殊字符等)。(3)内置读写存储器可以根据需要,进行对字符和符号的自由设定。(4)+5V单值电源供电。(5)低功耗。2.2 1602引脚及功能说明 1602引脚及功能如图1,表1所示: 图1 1602引脚分布图 表1 1602引脚功能表 编号符号引脚说明编号符号引脚说明1VSS电源地902D2 102VDD电源正极1003D3 103Vo对比度调整端1104D4 104RS数据命令选择端(H|L)1205D5 105RW读写选择端(HL)1306D6 106L使能端1407D7 10700D0 10158LA背光正极801D1 10168LX背光负极2.3LCD1602显示模块指令集LCD1602显示模块功能指令表如表2所示:表2 LCD1602显示模块功能指令表Rs R|wDB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0功能:清屏清除DDROM值和AC值0 0 0 0 0 0 0 0 0 1Rs R|w DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0功能:归位光标复位,光标返回到00H0 0 0 0 0 0 0 0 1 *Rs R|wDB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0功能:设置光标,画面移动方式0 00 0 0 0 0 1 I|D SI|D=1,数据读写操作后,AC值自动加1I|D=0数据读写操作后,AC值自动减1S=1,数据读写操作后,画面平移。S=0,数据读写操作后,画面不动。Rs R|wDB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0功能:设置显示光标,闪烁开关0 0 0 0 0 0 1 D C BD表示显示开关 D=1,表示开,D=0,表示关。C表示光标开关 C=1,表示开,D=0,表示关。B表示闪烁开关 B=1, 表示开,B=0,表示关。Rs R|wDB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0功能:光标,画面移动0 0 0 0 0 1 s|c r|l * *S|c=1,换面移动一个字符位;s|c=0,光标移动一个字符位。R|l=1,右移;R|l=0,左移。Rs R|wDB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0功能:工作方式设置(指令初始化)0 0 0 0 1 DL N F * *DL=1,8位数据接口;DL=0,4位数据接口。N=1,两行显示;N=0,一行显示。F=1,5*10点阵显示;F=0,5*7点阵显示。Rs R|wDB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0功能:设置CGRAM地址。A5A0=03FH0 0 0 1 A5 A4 A3 A2 A1 A0Rs R|wDB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0功能:设置DDRAM地址。0 0 1 A6 A5 A4 A3 A2 A1 A0N=0,一行显示 A6A0=04FH;N=1,两行显示,首行A6A0=02FH;次行,A6A0=4067HRs R|wDB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0功能:读忙BF和地址计数器AC的值0 1BF AC6 AC5 AC4 AC3 AC2 AC1 AC0BF=1,忙;BF=0,准备好。Rs R|wDB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0功能:根据最近设置的地址性质,写入CGRAM或DDRAM中。1 0 数据Rs R|wDB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0功能:根据设置的地质性质,读出CGRAM或DDRAM中的数据。0 1 数据 2.4 1602液晶显示器的操作控制 1602的16进制ASCII码表地址如表3所示:序列读取从左边先开始,随后读取上面的那行,例如:其中的#的ASCII为0x23。(前面加0x表示十六进制):2.5 FPGA的简介 FPGA的全称是Field-Programmable Grate Array,即现场可编程门列阵。它是以ASIC领域中一种半定制电路的身份而出现,它是在CPLD的研发基础之上而进一步发展出来的高性能的新型可编程逻辑器件,由于特定制作电路中有很多不足之处也已经被其弥补,而且最初原有的可编程器件门电路非常有限等缺点也被其成功解决,故而使得其地位在显示技术应用领域中越发重要。它有一些采用反熔丝或Flash等工艺,但一般多采用SRAM工艺。FPGA其器件密度从系统门从低至以万计到高至千万计不等,所以它的集成度非常的高,因此对于一些非常复杂的组合态的逻辑电路和时序信号功能的实现就显得很轻松了。它适用于对速度和密度有着很高要求的高端的数字逻辑电路设计方面。FPGA的芯片主要由六部分组成:(1)基本可编程逻辑单元。(2)可编程输入输出单元。(3)完整的时钟管理。(4)丰富的布线资源。(5)嵌入块式RAM。(6)内嵌的底层功能单元和内嵌专用硬件模块。 FPGA的主要器件供应商有Xilinx,Altera,Lattice,Actel和Atmel等。 FPGA 的基本特点有:(1)可以作为其他半定制或全定制专用集成电路中的试样片。(2)采用FPGA的专用集成电路,不需要投片生产就可以得到需要的芯片。(3)FPGA与TTL,CMOS的电平可以互用,它是CHMOS工艺,速度较高,而且是低功耗。(4)内部具有非常丰富的触发器和IO引脚。(5)设计周期短,费用低,风险小。3系统设计3.1 FPGA的设计流程 一般情况下 ,完整的FPGA设计流程包括以下等主要步骤,图2所示。3.2设计流程论述(1)电路设计与输入电路设计与输入的实质就是以指定的描述方式 ,将设计电路的整体思想构造传送给电子线路和集成电路工具。广为大家所熟知的输入设计方法有很多。如,原理图输入设计方法、hardwordverilog等。在早期电子信息方面技术不够成熟的时候原理图设计输入法被人们使用的较多,它由设思想计的要求来确定所要用到的器件,然后绘制出过程原理图,最后由操作者实现输入。使用这种方法可以有很多的原器件库资源,并且简便直接,易于理解。但是在很多的大型设计中,对系统的可维护性,以及模块构造和复用等方面有着很高的要求,并且当芯片的升级版本出现后,随着芯片的更新换代其原本的原理图都要相对的有所改动的缺点,使得这种方法在这些综合因素面前则显得有些捉襟见肘。目前进行大型设计的方法HDL设计输入方法中VHDL和Verilog HDL 的使用最多。他们都是采用有利于由顶向下设计,并且当模块重复和划分的时候非常方面,设计中不用顾及芯片的工艺,结构等方面的问题,可移植的性能很好,因此更有利于向ASIC移植。输入波形时是常用的两种辅助设计输入方法,在使用时,设计者只需要将各个时态的状态以图形的形式画出并连接,EDA软件就能使其相应的代码产生出来,或者生成相应的原理图,使用起来非常便捷5。(2)功能仿真电路设计完成后,要进行仿真都需要专用的设备工具,这样就能更准确的判断电路各个功能在实现过程中是否符合设计要求。功能仿真的另一种叫法为”前仿真”,仿真的目的是为了能够及早并准确的发现源程序代码中出现的的错误项,故此仿真能够使得整体设计的速度和效率以及程序等其它过程的正确度都会得到很大的提高。(3) 综合优化综合优化其实质就是指将设计输入翻译成由基本的逻辑单元连接(如非门、与门和或门以及触发器、RAM一类的),而且会依据设计思想来对所生成的连接进行一系列的优化,最后输出的文件必须是标准类型文件格式(例如edn、edf等),且实现的完成需要依靠布局布线器(FPGA)。(4) 综合后仿真综合完成后需要检查综合结果是否与原本设计思想所要实现的功能相同,叫做综合后仿真。在仿真时,很重要的一步是对门延时会带来怎样的,多大的影响进行预判。为此,我们必须要把综合生成的标准延时文件在综合仿真模型中进行反标注。进行综合后的仿真其最有价值的意义在于能够及时并准确地看出在经过一系列的中间设计过程之后,最后产生的较为综合的结果,是否与初始时设计输入的目标结果一致。综合仿真唯独适用于门延时,而对于线延时来说,综合仿真不太适用,但是它还是比功能仿真产生的结果更为精准明确(用于门延时),仿真的结果只是在虚拟器件上的显示,而实际中的设计情况复杂程度很高,故两者相比起来差距是非常大的,故此准确度就会有所下降。(5)实现与布局布线综合结果的本质是一些由与,或,非门触发器等逻辑单元组成的网表,它与芯片实际的配置情况还有较大的差距。软件由生产厂方提供,然后设计时要依据所用到芯片的类型标准,而实现过程就是将最后由综合输出而产生的逻辑网表与FPGA的器件相匹配。布局和布线在整个实现的过程中的重要程度位居首位。把逻辑网表中的Hardword或者底层单元适当的与FPGA内部的固有硬件结构进行结合,这就是所谓的布局,并且布局不同时,设计的相差程度(包括速度、面积等方面)也会很大;布线从本质上来理解就是布局的延伸结果,充分发挥FPGA内部具有非常丰富的资源这一巨大优势,将各个元件准确并正确的进行连接到一起的过程4。因为FPGA有着复杂的结构,所以在进行布局或者布线的时候大多情况下都会采用引擎,并且该引擎是由时序驱动的,因此当审计输入不同时,尤其是时序约束不同的时候,那么相对应产生的布局或布线的结果也会随之有很大的不同。(6)时序仿真与验证在布局布线的过程中,将时延信息在设计网表中进行反标注8,这一步仿真被称为时序仿真(布局布线后仿真),有时也简单的称为仿真。布局布线后不仅生成门延时,还有实际布线延时,因此可以说对仿真时延文件而言,其中包含的时延信息是最为全面的,因此进行仿真时的准确度最高,然后芯片较为准确的工作状态能够很好地被掌握。仿真还有一个作用就是起到检验的作用,能检查出FPGA实际的运行情况与初态设计的时序思想是否吻合,综上所述可知,在布局布线完成后,对源程序代码进行仿真的步骤是不可避免的。(7)板级仿真与验证在有些高速设计情况下,信号的完整性和所受到或产生的电磁干扰的特点和性能都需要考虑,因此就需要对设计的模型进行仿真,而这些仿真系统本身难以实现,必须借助外界的验证工具进行验证9。(8)加载调试与加载配置设计开发的最后步骤就是在线调试或者将生成的配置文件写入芯片中进行测试。在进行逻辑设计的过程中逻辑分析仪起到调试的作用,而示波器也是起到调试作用的工具之一。传统的方法是用逻辑分析仪分析信号来实现逻辑功能板级验证,设计人员在进行设计的过程中会设置一些特定的FPGA管脚,这些管脚被称为测试管脚7。FPGA的代码进行编写的时候将需要观察的信号作为模块的输出信号,在综合实现时再把这些输出信号锁定在管脚上,然后把逻辑分析仪的探头连接到这些测试管脚上,设定触发条件,进行观测。逻辑分析仪的特点是专业,高速,触发逻辑可以相对复杂。缺点是价格昂贵,灵活性差。PCB布线后测试管脚的数量就不能再变化。测试时,如果管脚不够用,就会影响测试结果,但是如果测试时管脚数量太多,则又会影响设计中的布局布线环节。表3 1602的16进制ASCII码表 系统设计 电路构思电路设计与输入(代码源,原理图,波形,状态机)功能仿真是否正确 H是否为综合优化问题 综合优化 Y Y H综合后仿真是否正确是否为实现的问题 H 实现与布局布线 Y H布局布线后仿真与验证是否正确 H 板级仿真与验证是否正确 H 加载配置 在线调试 图2 FPGA设计流程图 4实现部分4.1源程序代码:module lcd1602(clk,rst,LCD_E,LCD_RW,LCD_RS,LCD_D);input clk,rst;output LCD_E,LCD_RW,LCD_RS;output 7:0 LCD_D;reg LCD_E,LCD_RW,LCD_RS;reg 7:0 LCD_D;reg 9:0 state;reg 5:0 address;parameter IDLE=10'b0000000000;parameter CLEAR=10'b0000000001;/清屏parameter RETURNCURSOR=10'b0000000010;/归home位parameter SETMODE=10'b0000000111;/输入方式设置,读写数据后ram地址增/减1;画面动/不动parameter SWITCHMODE=10'b0000001111;/显示状态设置,显示开/关;光标开/关;闪烁开/关 parameter SHIFT=10'b0000011100;/光标画面滚动 画面/光标平移一位;左/右平移一位parameter SETFUNCTION=10'b0000111100;/工作方式设置 1:8/1:4位数据接口;两行/一行显示;5x10/5x7点阵parameter SETCGRAM=10'b0001000000;/设置CGRAMparameter SETDDRAM1=10'b0010000001;/设置DDRAMparameter SETDDRAM2=10'b0010000010;/设置DDRAMparameter READFLAG=10'b0100000000;/读状态parameter WRITERAM1=10'b1000000001;/写RAMparameter WRITERAM2=10'b1000000010;/写RAMparameter READRAM=10'b1100000000;/读RAM parameter cur_inc =1;parameter cur_dec =0;parameter cur_shift =1;parameter cur_noshift =0;parameter open_display =1;parameter open_cur =0;parameter blank_cur =0;parameter shift_display=1;parameter shift_cur =0;parameter right_shift =1;parameter left_shift =0;parameter LCD_Dwidth8 =1;parameter LCD_Dwidth4 =0;parameter twoline =1;parameter oneline =0;parameter font5x10 =1;parameter font5x7 =0;function 7:0 ddram; /写入需要的字符数据input 5:0 n;begincase(n)0:ddram=8'h57;/w1:ddram=8'h61;/a2:ddram=8'h6E;/n3:ddram=8'h67;/g4:ddram=8'h43;/C5:ddram=8'h68;/h6:ddram=8'h6F;/07:ddram=8'h4E;/n8:ddram=8'h67;/g9:ddram=8'h48;/H10:ddram=8'h65;/e11:ddram=8'h44;/D12:ddram=8'h61;/a13:ddram=8'h4D;/M14:ddram=8'h69;/i15:ddram=8'h6e;/n16:ddram=8'h53;/S17:ddram=8'h68;/h18:ddram=8'h65;/e19:ddram=8'h6e;/n20:ddram=8'h67;/g21:ddram=8'h57;/W22:ddram=8'h6F;/o23:ddram=8'h5A;/Z24:ddram=8'h68;/h25:ddram=8'h65;/e26:ddram=8'h6E;/n27:ddram=8'h41;/A28:ddram=8'h69;/i29:ddram=8'h4E;/N30:ddram=8'h69;/i31:ddram=8'h21;/!default: ddram=8'hxx;endcaseendendfunction/分频模块reg 16:0 clkcnt;reg clkdiv; always (posedge clk)if(!rst)clkcnt<=17'b0_0000_0000_0000_0000;elsebeginif(clkcnt<17'b0_1001_1100_0100_0000) /16'b1001_1100_0100_0000beginclkcnt<=clkcnt+1; clkdiv<=0; endelse if(clkcnt=17'b1_0011_1000_0111_1111) clkcnt<=17'b0_0000_0000_0000_0000;else beginclkcnt<=clkcnt+1; clkdiv<=1; endend reg clk_int; always (posedge clkdiv or negedge rst) /原为clkdivif(!rst)clk_int<=0;elseclk_int<=clk_int;always (negedge clkdiv or negedge rst) / T 为clkdiv的2倍,100000个clk: 500Hz,2msif(!rst)LCD_E<=0;elseLCD_E<=LCD_E;always (posedge clk_int or negedge rst)if(!rst)beginstate<=IDLE;address<=6'b000000;LCD_D<=8'b00000000;LCD_RS<=0;LCD_RW<=0;endelsebegincase(state)IDLE :begin LCD_D<=8'bzzzz_zzzz;state<=CLEAR;End CLEAR:begin LCD_RS<=0;LCD_RW<=0;LCD_D<=8'b0000_0001; /清屏01state<=SETFUNCTION;end SETFUNCTION:begin LCD_RS<=0;LCD_RW<=0;LCD_D7:5<=3'b001; /功能设置3C LCD_D4<=LCD_Dwidth8;LCD_D3<=twoline; LCD_D2<=font5x10;LCD_D1:0<=2'b00; state<=SWITCHMODE;endSWITCHMODE :begin LCD_RS<=0;LCD_RW<=0;LCD_D7:3<=5'b00001; /显示状态开关设置0C LCD_D2<=open_display;LCD_D1<=open_cur; LCD_D0<=blank_cur; state<=SETMODE;end SETMODE:begin LCD_RS<=0;LCD_RW<=0;LC

    注意事项

    本文(本科毕业论文基于FPGA的液晶显示装置.doc)为本站会员(仙人指路1688)主动上传,三一办公仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一办公(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    备案号:宁ICP备20000045号-2

    经营许可证:宁B2-20210002

    宁公网安备 64010402000987号

    三一办公
    收起
    展开