欢迎来到三一办公! | 帮助中心 三一办公31ppt.com(应用文档模板下载平台)
三一办公
全部分类
  • 办公文档>
  • PPT模板>
  • 建筑/施工/环境>
  • 毕业设计>
  • 工程图纸>
  • 教育教学>
  • 素材源码>
  • 生活休闲>
  • 临时分类>
  • ImageVerifierCode 换一换
    首页 三一办公 > 资源分类 > DOC文档下载  

    885191376基于VHDL的电梯控制系统毕业设计论文(VHDL编程、含ppt).doc

    • 资源ID:2392361       资源大小:368KB        全文页数:34页
    • 资源格式: DOC        下载积分:8金币
    快捷下载 游客一键下载
    会员登录下载
    三方登录下载: 微信开放平台登录 QQ登录  
    下载资源需要8金币
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    885191376基于VHDL的电梯控制系统毕业设计论文(VHDL编程、含ppt).doc

    摘 要电梯是标志现代物质文明的垂直运输工具,是机电一体化的复杂运输设备。而电梯的核心是电梯控制器。对于电梯的控制,传统的方法是使用继电器接触器控制系统进行控制,随着技术的不断发展, 采用硬件描述语言VHDL来完成电梯控制器的设计, 是设计智能化的标志。本文结合EDA自上而下的设计特点分析了电梯控制系统的工作原理,给出了电梯控制系统的设计框图,并用VHDL语言描述出了整个系统,最后用Quartus软件进行了仿真,分析了仿真结果,进行了总结。本文结构主要分成四大部分:第一章介绍了电梯控制系统的发展情况及发展趋势,交代了课题的研究背景,并对要完成的工作做了介绍;第二章介绍了EDA技术的主要特点,并对VHDL语言的功能及其结构进行了描述,并着重介绍了状态机的含义;第三章以VHDL语言作为描述工具,对四层电梯的结构进行分析,给出了电梯控制器的设计流程和状态机描述;第四章用Quartus软件对程序进行了仿真,并对仿真结果进行了说明和分析,并进行总结。关键字:电梯控制器,EDA,VHDL状态机The elevator control system based on VHDLAbstractThe elevator is symbolized the modern material civilization verticaltransport means, are machine - the electricity integration complextransport vehicle. It involves the electronic technology, themechanical engineering, the electric power electronic technology, themicrocomputer technology, the electric power drives the system and thecivil engineering and so on many scientific domains. At present theelevator production situation and the use quantity have become one ofnational modernization degree symbols. Along with the modernized cityhigh speed development, has the massive streams of people and thething class every day needs to transport. In order to save with andthe adaption economics and trade enterprise development, a flickeringtall building rises straight from the ground, these high-riseconstructions vertical transportation are a prominent question, withpeople's work and life close correlation. Along with society's development, the elevator use is more and morecommon, from originally only in the commercial building, theguesthouse use, transited to in place use and so on the officebuilding, building of residence, and also unceasingly enhances to theelevator function request, correspondingly its control mode also indoes not stop changes. Regarding the elevator control, the traditionalmethod is uses the relay - contact device control system to carry onthe control, along with the technical unceasing development, themicrocomputer is day by day widespread in elevator controlapplication, now entered the entire microcomputer control the time. The present era day by day increases along with the high-riseconstruction with the architectural design scale enhancement, causesthe people more and more to be also high to the elevator request. Atpresent not only has been restricted in requests the elevator totravel by fast, comfortable, manufacture firm, the decoration iselegant, the people to the elevator security reliability andmulti-purpose were proposing the more and more high request, is facingthe control intellectualization direction for this elevator todevelop. But the elevator core is the elevator controller, the elevatorcontroller realization also has many center ways, such as PLC,monolithic integrated circuit, and so on In the before elevatorcontroller design, majority of all was uses PLC to realize, but PLCwas realizes the elevator control based on the periphery hardware,such design was very difficult to realize the elevatorintellectualization, had to realize the elevator intellectualization,had to select other design methods to complete to the elevatorcontroller design, but used the hardware description language tocomplete the elevator controller the design, could the very easyrealization elevator intelligence Essential character: Elevator controller, CPLD, VHDL conditionmachine目 录目 录01绪论11.1 国内外研究现状及发展趋势11.2 电梯智能化及其实现11.2.1 接口层的实现21.2.2 群控策略的选择21.3论文的主要工作及结构32 EDA技术及VHDL语言32.1 EDA技术32.2 VHDL语言43 四层电梯控制器的VHDL设计53.1 PLC电梯控制缺点53.2电梯控制器流程63.3 状态机及其VHDL设计93.3.1状态机的基本结构和功能103.3.2一般状态机的VHDL设计113.4四层电梯控制器具体设计123.4.1四层电梯控制器的功能及设计思路124 四层电梯控制器的调试及仿真154.1 程序修改调试154.2 波形仿真165 结束语19谢辞19参考文献21附录1 状态机的VHDL程序22附录2 四层电梯控制器的VHDL描述源程序241绪论1.1 国内外研究现状及发展趋势在现代化城市的高速发展中,一幢幢高楼拔地而起。电梯是楼房里上下运送乘客或货物的垂直运输设备。我国电梯行业的发展历程,从改革开放到今天,电梯行业在不知不觉中走过了一个从无到有,从有到多,从多到精的发展历程。随着住宅市场的巨大变化,中国已经成为全球容量最大、增长最快的电梯市场。目前,我国电梯保有量已超过100万台,且保持每年20%的递增速度,市场前景乐观。这些电梯服务于写字间、公寓、商场等各种场所。但是电梯行业业内都知道,电梯的核心部件是电梯控制。该部件的好坏不仅影响电梯的运行质量,还会影响电梯的安全性和可靠性能。上世纪80年代,国内电梯行业基本应用传统的继电器控制方式,电梯故障率很高。改革开放以来,国外的电梯公司纷纷登陆中国市场,在国内建立了一批有规模的合资企业,他们带来的技术比较先进。但这些外国公司对电梯控制系统的技术控制非常严格,国内的中小型企业根本没有能力与他们竞争。总的来说,我国电梯市场潜力很大,但是国产电梯市场占有率不容乐观,中国电梯企业有待发展。在现代化城市的高速发展中,一幢幢高楼拔地而起。电梯是楼房里上下运送乘客或货物的垂直运输设备。我国电梯行业的发展历程,从改革开放到今天,电梯行业在不知不觉中走过了一个从无到有,从有到多,从多到精的发展历程。随着住宅市场的巨大变化,中国已经成为全球容量最大、增长最快的电梯市场。目前,我国电梯保有量已超过100万台,且保持每年20%的递增速度,市场前景乐观。这些电梯服务于写字间、公寓、商场等各种场所。自1889美国的奥的斯升降机公司推出了世界第一部以直流电动机为动力诞生名副其实的电梯,从而彻底改写了人类使用升降工具的历史。上世纪90年代,随的升降机着世界经济快速发展及经济全球化, 发达的工业化国家纷纷研制出高速及超高速电梯,电梯不仅是代步的工具,也是人类文明的标志,其技术的发展正体现了社会的进步与文明。随着电梯技术的发展,绿色化、低能耗、智能化、网络化、蓝牙技术的电梯成为一段时间内的发展趋势。1.2 电梯智能化及其实现电梯行业内都知道,电梯的核心部件是电梯控制,该部件的好坏不仅影响电梯的运行质量,还会影响电梯的安全性和可靠性能。对于电梯控制系统来说,智能化的发展将是电梯行业未来发展的必经之路。将人工智能应用到电梯控制领域是从上世纪80年代开始的,那时人工智能蓬勃发展,专家系统、神经网络、模糊控制等许多最新的人工智能成果都被应用到电梯群控的派梯算法上,但这些具有智能化派梯策略的电梯在中国的使用却很晚,使用量并不是很大。这是由多种原因造成的。智能化电梯群控系统可以大大提高电梯群的派梯效率,减少乘客的候梯时间,降低电梯的能耗。 对电梯的原有机械电梯部分基本不作改动,包括提升部分、厅门控制部分等等,只是在原有电梯控制系统之上增加一层接口层,在接口层实现对电梯各种信号的采集和通过电梯的原有外部输入接口实现对电梯控制信号的输入,在接口层之上实现电梯群的智能化群控。1.2.1 接口层的实现电梯智能化改造的实现与原有电梯的接口部分很重要。与原有系统的接口包括两个部分,一是电梯的状态的实时采集,二是控制信号的回送。始终强调电梯的改造最重要的是不可以降低原有系统的安全性。如果原有电梯控制系统仍能满足需要,应尽量减少对原有电梯控制系统的改变,同时又要能够及时得到电梯的状态变化,并实现对电梯的调度。接口层的实现采用分布式控制技术,在每台电梯的每一楼层的外招板上加装一块外招接口板,在内招板上加装一块内招接口板,在电梯控制柜中加装一块梯态接口板,这些接口板上都有一个独立的CPU控制着各自的信号采集、发送、接收、转发,它们通过一条公用总线与信号采集主机相连,信号采集主机负责电梯所有信号的收集和转发,负责将收集到的电梯信号送达上层的群控主机和实时监控主机,并转发群控主机和监控主机发出的控制信号。通过各楼层加装的外招接口板采集所有外招信号,电梯内加装的内招接口板采集电梯的内招信号,电梯的控制柜中加装的电梯状态接口板采集电梯的目前状态:如口前楼层、运行方向、电梯门状态、电梯停止等信号,通过串行总线送往群控主机,群控主机根据采集到的信号作派梯选泽,将派梯信号回送到外招接口板,由外招接口板将信号发送给电梯的外招板,完成派梯。1.2.2 群控策略的选择近20年来,人们对电梯的智能群控策略有很多研究,提出了各种智能群控算法,它们实现的复杂性和性能也各不相同。一种是采用专家系统,即收集专家在电梯控制领域的各种知识,也就是说对在电梯的各种不同情况下专家们有可能采取的策略进行汇总、分类,组织成规则库,然后根据采集到的电梯的数据以规则库里的推理规则确定电梯的派梯方案。另一种是采用模糊推理规则,即综合考虑评价梯群性能的各种标准,如平均等待时间、长时间等待率、电梯能耗等等,确定一个隶属度函数,将电梯的各种输入参数模糊化后输入系统,通过模糊判断规则根据隶属度函数来确定一个最佳派梯。1.3论文的主要工作及结构本论文选择VHDL语言对电梯控制系统进行描述, 主要工作有用VHDL语言作为描述工具,对四层电梯的结构进行分析,给出了电梯控制器的设计流程和状态机描述,并用Quartus软件对程序进行了仿真,并对仿真结果进行了说明和分析,并进行总结。本论文的主要结构为:第二章介绍了EDA技术的主要特点,并对VHDL语言的功能及其结构进行了描述,并着重介绍了状态机的含义;第三章以VHDL语言作为描述工具,对四层电梯的结构进行分析,给出了电梯控制器的设计流程和状态机描述;第四章用Quartus软件对程序进行了仿真,并对仿真结果进行了说明和分析,并进行总结。2 EDA技术及VHDL语言2.1 EDA技术EDA技术的概念:EDA是电子设计自动化的缩写。由于它是一门刚刚发展起来的新技术,涉及面广,内容丰富,理解各异,所以目前尚无一个确切的定义。但从EDA技术的几个主要方面的内容来看,可以理解为:EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门新技术。1993年IEEE对VHDL进行了修订,增加了部分新的VHDL命令与属性,并公布了新版本的VHDL,即IEEE标准的1076-1993版本。现在,VHDL已经成为系统描述的国际公认标准,得到众多EDA公司的支持,越来越多的硬件设计者使用VHDL描述数字系统。EDA技术的基本特征:EDA代表了当今电子设计技术的最新发展方向,利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在汁算机上自动处理完成。EDA技术的应用:电子EDA技术发展迅猛,逐渐在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。在教学方面:几乎所有理工科(特别是电子信息)类的高校都开设了EDA课程。主要是让学生了解EDA的基本原理和基本概念、鳞握用佃L描述系统逻辑的方法、使用扔A工具进行电子电路课程的模拟仿真实验并在作毕业设计时从事简单电子系统的设计,为今后工作打下基础。具有代表性的是全国每两年举办一次大学生电子设计竞赛活动。在科研方面:主要利用电路仿真工具(EwB或PSPICE、VLOL等)进行电路设计与仿真;利用虚拟仪器进行产品调试;将O)LI)FPGA器件的开发应用到仪器设备中。在产品设计与制造方面:从高性能的微处理器、数字信号处理器一直到彩电、音响和电子玩具电路等,EDA技术不单是应用于前期的计算机模拟仿真、产品调试,而且也在电子的制作、电子设备的研制与生产、电路板的焊接、朋比的制作过程等有重要作用。可以说电子EDA技术已经成为电子工业领域不可缺少的技术支持。EDA技术发展趋势:EDA技术在进入21世纪后,由于更大规模的FPGA和凹器件的不断推出,在仿真和设计两方面支持标准硬件描述语言的功能强大的EDA软件不断更新、增加,使电子EDA技术得到了更大的发展。电子技术全方位纳入EDA领域,EDA使得电子领域各学科的界限更加模糊,更加互为包容,突出表现在以下几个方面:使电子设计成果以自主知识产权的方式得以明确表达和确认成为可能;基于EDA工具的ASIC设计标准单元已涵盖大规模电子系统及IP核模块;软硬件IP核在电子行业的产业领域、技术领域和设计应用领域得到进一步确认;SoC高效低成本设计技术的成熟。随着半导体技术、集成技术和计算机技术的迅猛发展,电子系统的设计方法和设计手段都发生了很大的变化。可以说电子EDA技术是电子设计领域的一场革命。2.2 VHDL语言VHDL的英文全名是Very-High-Speed Integrated Circuit HardwareDescription Language,诞生于1982年。VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。VHDL的主要特点如下:(1)作为HDL的第一个国际标准,VHDL具有很强的可移植性。(2)具有丰富的模拟仿真语句和库函数,随时可对设计进行仿真模拟,因而能将设计中的错误消除在电路系统装配之前,在设计早期就能检查设计系统功能的可行性,有很强的预测能力。(3)VHDL有良好的可读性,接近高级语言,容易理解。(4)系统设计与硬件结构无关,方便了工艺的转换,也不会因工艺变化而使描述过时。(5)支持模块化设计,可将大规模设计项目分解成若干个小项目,还可以把已有的设计项目作为一个模块调用。(6)对于用VHDL完成的一个确定设计,可以利用EDA工具进行逻辑综合和优化,并能自动地把VHDL描述转变成门电路级网表文件。(7)设计灵活,修改方便,同时也便于设计结果的交流、保存和重用,产品开发速度快,成本低。用VHDL设计电路主要的工作过程是:(1)编辑。用文本编辑器输入设计的源文件(为了提高输入效率,可用某些专用编辑器)。(2)编译。用编译工具将文本文件编译成代码文件,并检查语法错误。(3)功能仿真(前仿真)。在编译前进行逻辑功能验证,此时的仿真没有延时,对于初步的功能检测非常方便。(4)综合。将设计的源文件用自动综合工具由语言转换为实际的电路图(门电路级网表),但此时还没有在芯片中形成真正的电路,就好像是把设计者脑海中的电路画成了原理图。(5)布局、布线。用已生成的网表文件,再根据CPLD(或FPGA)器件的容量和结构,用自动布局布线工具进行电路设计。首先根据网表文件内容和器件结构确定逻辑门的位置,然后再根据网表提供的门连接关系,把各个门的输入输出连接起来,类似于设计PCB(印刷电路板)时的布局布线工作。最后生成一个供器件编程(或配置)的文件,同时还会在设计项目中增加一些时序信息,以便于后仿真。(6)后仿真(时序仿真)。这是与实际器件工作情况基本相同的仿真,用来确定设计在经过布局、布线之后,是否仍能满足设计要求。如果设计的电路时延满足要求,则可以进行器件编程(或配置)。3 四层电梯控制器的VHDL设计电梯的微机化控制主要有以下几种形式:1 PLC控制;2 单板机控制;3 单片机控制;4 单微机控制;5 多微机控制;6 人工智能控制。随着EDA技术的快速发展,CPLD/FPGA已广泛应用于电子设计与控制的各个方面。但是本设计屏弃以前老式的采用PLC设计电梯控制器,而是使用EDA技术来实现对电梯的控制的。3.1 PLC电梯控制缺点当今电梯市场已经有多种电梯控制器的设计方案。但大多数仍然是采用PLC实现电梯的升降控制,但是采用 PLC实现电梯控制器的设计存在大量的缺点缺点。如果采用硬件描述语言来完成电梯控制器的设计,就可以克服PLC电梯的大部分缺点。目前,在我国国产电梯大部分为继电器及PLC控制方式,继电器控制系统性能不稳定、故障率高,大大降低了电梯的舒适性、可靠性和安全性,经常造成停梯,给乘用人员的生活和工作带来了很多不便,因而传统的电梯控制系统的更新势在必行。当前电梯发展的智能化发方向是IC卡智能电梯,但最终的发展是声控智能电梯,IC卡智能电梯PLC都需通过外加IC读卡芯片才能实现,PLC单独是不能实现的,那以后的声控智能电梯PLC就能不能单独实现,然而我们采用VHDL技术就可以实现电梯的智能化,节能,也可以提高电梯的舒适性,可靠性和安全性。本次设计尝试用硬件描述语言(VHDL)来实现电梯控制,可进行多层次的逻辑设计,也可进行仿真验证、时序分析等以保证设计的正确。在使用VHDL进行电梯控制器的设计,主要就是对电梯软件部分进行设计,使用VHDL中的逻辑关系建立电梯的升降模式,开门,关门达到动作,而外部的硬件设备基本上保持不变。但是使用VHDL硬件描述语言设计电梯控制器可以为以后电梯实现智能控制奠定基础。3.2电梯控制器流程 外部按键设备请求信号寄存器状态寄存器内部软件执行器构外部硬件执行器构图1 总流程图初始化N有无平层信号等待请求Y显示清平层信号目的层到否Y上/下运行N上下行判断停车消该层信号有无同相选层信号停车有无同向选层信号消同向选层信号扫描电梯位置目的层到否NY上行控制下行控制返回图2 电梯运行流程图扫描当前层初始化下降请求请求一层开门等待上升N上升请求N下降YYY到最低层请求Y到最高层N上升模式下降模式NN到目的层请求有到目的层YNY停车一层开门等待图4 下降模式流程图图3 上升模式流程图本论文设计的电梯控制系统的流程图主要有:总流程图,电梯运行流程图,上升模式流程图,下降模式流程图和停车门控制流程图,其中总流程图指出了整个电梯系统各个部分的联系,但是本设计的主要部分为电梯的控制系统,所以对这一部分不做过多介绍;电梯的运行流程图则明确的指出了控制系统根据电梯当前状态和外部请求信号做出相应的控制,例如:当电梯在二层楼的时候,接收到第四层楼的下降请求和第三层楼的上升请求,则电梯会先响应第三层楼的请求,当到达第三层楼后,在消除这一信号,接着响应第四层楼的请求;而电梯的上升和下降模式流程图则更加具体的指出了电梯在什么情况下上升,在什么情况下下降,上升过程中和下降过程中的一些具体情况;门制系统也是很重要的一部分,它形象的表达了电梯在到达所指定的楼层后所做出的反应及其所等待时间。总之,这五个流程图将电梯控制系统具体化,有利于后面的设计。电梯控制器可以通过多种方法进行设计,其中采用状态机来实现,思路比较清晰。可以将电梯等待的每秒钟以及开门,关门都看成一个独立的状态。由于电梯又是每秒上升或下降一层,所以就可以通过一个统一的1秒为周期的时钟来触发状态机。停车控制程序到站开门等待2秒清该层对应指示灯等待2秒关门返回图5 停车门控流程图3.3 状态机及其VHDL设计有限状态机(Finite State Machine,简称FSM)是一类很重要的时序电路,是许多数字系统的核心部件,也是实时系统设计中的一种数学模型,是一种重要的、易于建立的、应用比较广泛的、以描述控制特性为主的建模方法,它可以应用于从系统分析到设计的所有阶段。有限状态机的优点在于简单易用,状态间的关系清晰直观。建立有限状态机主要有两种方法:“状态转移图”和“状态转移表”。标准状态机通常可分为Moore和Mealy两种类型。3.3.1状态机的基本结构和功能状态机的基本结构如图1所示。除了输人信号、输出信号外,状态机还包含一组寄存器记忆状态机的内部状态。状态机寄存器的下一个状态及输出,不仅同输入信号有关,而且还与寄存器的当前状态有关,状态机可以认为是组合逻辑和寄存器逻辑的特殊组合。它包括两个主要部分:即组合逻辑部分和寄存器。组合逻辑部分又可分为状态译码器和输出译码器,状态译码器确定状态机的下一个状态,即确定状态机的激励方程,输出译码器确定状态机的输出,即确定状态机的输出方程。寄存器用于存储状态机的内部状态。状态译码器状态寄存器 输出译码器输出信号组合逻辑输入信号图6 状态机的基本结构状态机的基本操作有两种:1状态机的内部状态转换。状态机经历一系列状态,下一状态由状态译码器根据当前状态和输入条件决定。2产生输出信号序列。输出信号由输出译码器根据当前状态和输入条件确定。用输入信号决定下一状态也称为“转移”。除了转移之外,复杂的状态机还具有重复和历程功能。从一个状态转移到另一状态称为控制定序,而决定下一状态所需的逻辑称为转移函数。在产生输出的过程中,根据是否使用输入信号可以确定状态机的类型。两种典型的状态机是米立(Mealy)状态机和摩尔(Moore)状态机。摩尔状态机的输出只是当前状态的函数,而米立状态机的输出一般是当前状态和输入信号的函数。对于这两类状态机,控制定序都取决于当前状态和输入信号。大多数实用的状态机都是同步的时序电路,由时钟信号触发进行状态的转换。时钟信号同所有的边沿触发的状态寄存器和输出寄存器相连,使状态的改变发生在时钟的上升或下降沿。3.3.2一般状态机的VHDL设计(1) 状态机的一般组成用VHDL设计有限状态机方法有多种,但最一般和最常用的状态机设计通常包括说明部分,主控时序部分,主控组合部分和辅助进程部分, 附录1为状态机的一种设计情况。1 说明部分说明部分中使用TYPE语句定义新的数据类型,此数据类型为枚举型,其元素通常都用状态机的状态名来定义。状态变量定义为信号,便于信息传递,并将状态变量的数据类型定义为含有既定状态元素的新定义的数据类型。说明部分一般放在结构体的ARCHITECTURE和BEGIN之间。2 主控时序进程是指负责状态机运转和在时钟驱动下负责状态机转换的进程。状态机随外部时钟信号以同步方式工作,当时钟的有效跳变到来时,时序进程将代表次态的信号next_state中的内容送入现态信号current_state中,而next_state中的内容完全由其他进程根据实际情况而定,此进程中往往也包括一些清零或置位的控制信号。3 主控组合进程根据外部输入的控制信号(包括来自外部的和状态机内容的非主控进程的信号)或和当前状态值确定下一状态next_state的取值内容,以及对外或对内部其他进程输出控制信号的内容。4 辅助进程用于配合状态机工作的组合、时序进程或配合状态机工作的其他时序进程。在一般状态机的设计过程中,为了能获得可综合的,高效的VHDL状态机描述,建议使用枚举类数据类型来定义状态机的状态,并使用多进程方式来描述状态机的内部逻辑。例如可使用两个进程来描述,个进程描述时序逻辑,包括状态寄存器的工作和寄存器状态的输出,另一个进程描述组合逻辑,包括进程间状态值的传递逻辑以及状态转换值的输出。必要时还可以引入第三个进程完成其它的逻辑功能。在VHDL中可以有两种方式来创建反馈机制:即使用信号的方式和使用变量的方式。通常倾向于使用信号的方式。一般而言,在进程中使用变量传递数据,然后使用信号将数据带出进程。在设计过程中,如果希望输出的信号具有寄存器锁存功能,则需要为此输出写第3个进程,并把clk和reset信号放入敏感信号表中。但必须注意避免由于寄存器的引入而创建了不必要的异步反馈路径。根据VHDL综合器的规则,对于所有可能的输入条件,如果进程中的输出信号没有被明确的赋值时,此信号将自动被指定,即在未列出的条件下保持原值,这就意味着引入了寄存器。因此,我们在程序的综合过程中,应密切注意VHDL综合器给出的警告信息,并根据警告信息对程序作必要的修改。一般来说,利用状态机进行设计有如下几个步骤:(1) 分析设计要求,列出状态机的全部可能状态,并对每一个状态进行编码。(2) 根据状态转移关系和输出函数画出状态转移图。(3) 由状态转移图,用VHDL语句对状态机描述。(2) 状态机的编码方案在状态机的编码方案中,有两种重要的编码方法:二进制编码和一位热码(OneHot)编码。在二进制编码的状态机中,状态位(B)与状态(S)的数目之间的关系为B=log2S,如两位状态位就有00,01,10,11四个不同状态,它们在不同的控制信号下可以进行状态转换,但如果各触发器又没有准确地同时改变其输出值,那么在状态01变到10时则会出现暂时的11或00状态输出,这类险象可能使整个系统造成不可预测的结果。这时,采用格雷码二进制编码是特别有益,在该编码方案中,每次仅一个状态位的值发生变化。一位热码编码就是用n个触发器来实现n个状态的编码方式,状态机中的每一个状态都由其中一个触发器的状态来表示。如4个状态的状态机需4个触发器,同一时间仅一个状态位处于逻辑1电平,四个状态分别为:0001、0010、0100、1000。在实际应用中,根据状态机的复杂程度、所使用的器件系列和从非法状态退出所需的条件来选择最适合的编码方案,使之能确保高效的性能和资源的利用。3.4四层电梯控制器具体设计3.4.1四层电梯控制器的功能及设计思路电梯控制器是控制电梯按照顾客要求自动上下的装置。四层电梯控制器的功能如下: (1) 每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。(2)设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。(3)电梯每秒上升(下降)一层楼。(4)电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请求信号停留在当前层。(5)能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。(6)电梯运行规则当电梯处于上升模式时,只响应比电梯所在位置的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接升到由下楼请求的最高楼层,然后进入下降模式。当电梯处于下降模式则与上升模式相反。(7)电梯初始状态为一层开门状态。采用状态机来实现电梯控制器,思路比较清晰,通过一个统一的1秒为周期的时钟来触发状态机。根据电梯的实际工作情况,可以把状态机设置10个状态,分别是“电梯停留在1层”、“开门”、“关门”、“开门等待第1秒”、“开门等待第二秒”、“开门等待第三秒”、“开门等待第四秒”、“上升”、“下降”和“停止”状态。各个状态之间的转换条件可由上面的设计要求所决定。3.4.2四层电梯控制器的设计思路(1)四层电梯控制器的实体设计首先考虑输入端口,一个异步复位端口reset,用于在系统不正常时回到初始状态;在电梯外部,必须有升降请求端口,一层最低,不需要下降请求,四层是最高层。不需要有上升请求,二层与三层则上升,下降请求端口都有;在电梯内部,应该设有各层停留的请求端口;一个电梯时钟输入端口,该输入时钟以1秒为周期,用于驱动电梯的升降及开门关门等动作;另有一个是按键时钟输入端口,时钟频率比电梯时钟高。其次是输出端口,有升降请求信号,就得有一个输出端口来指示请求是否被响应,有请求信号以后,该输出端口输出逻辑1,被响应以后则恢复逻辑0;同样,在电梯内部也应该有这样的输出端口来显示各层停留是否被响应;在电梯外部,需要一个端口来指示电梯现在所处的位置;电梯开门关门的状态也能用一个输出端口来指示;为了观察电梯的运行是否正确,可以设置一个输出端口来指示电梯的升降状态。在端口的定义中定义position时选用的是整型数据类型(INTEGRER),主要是为了在电梯运行是便于观察。整型直接可以看出电梯运行是楼层的变化,在第一层就显示1,第二层就显示2,很直观。当然,position的定义也可以用标准逻辑矢量(STD_LOGIC_VECTOR)来定义,但是如果选用标准逻辑矢量,在电梯运行时就不是那么好观察。这里是四层的电梯控制器,那么只需定义一个两位的就足够显示了。“00”的时候对应电梯的第一层,“11”的时候就对应第四层。但是“11”的十进制值为3,没有和层次显示的第四层想对应起,所以就放弃选用标准逻辑矢量来定义position,而选用的整型。(2) 四层电梯控制器的结构体设计首先说明一下状态。状态机设置了10个状态,分别是电梯停留在1层(stopon1)、开门(dooropen)、关门(doorclose)、开门等待第1秒(doorwait1)、开门等待第2秒(doorwait2)、开门等待第3秒(doorwait3)、开门等待第4秒(doorwait4)、上升(up)、下降(down)和停止(stop)。在实体说明中定义完端口之后,在结构体个之间需要有如下的定义语句,来定义状态机。TYPE lift_state IS(stopon1,dooropen,doorclose,doorwait1,doorwait2,doorwait3,doorwait4,up,down,stop) -电梯的10个状态SIGNAL mylift:lift_state; -定义为lift类型的信号mylift在结构体中,设计了两个进程互相配合,一个状态机进程作为主要进程,另外一个是信号灯控制进程作为辅助进程。状态机进程中的很多判断条件是以信号灯进程产生的信号灯信号为依据的,而信号灯进程中信号灯的熄灭又是有状态机进程中传出clearup和cleardn信号来控制。在状态机进程中,在电梯上升状态中,通过对信号灯的判断,决定下一个

    注意事项

    本文(885191376基于VHDL的电梯控制系统毕业设计论文(VHDL编程、含ppt).doc)为本站会员(文库蛋蛋多)主动上传,三一办公仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一办公(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    备案号:宁ICP备20000045号-2

    经营许可证:宁B2-20210002

    宁公网安备 64010402000987号

    三一办公
    收起
    展开