欢迎来到三一办公! | 帮助中心 三一办公31ppt.com(应用文档模板下载平台)
三一办公
全部分类
  • 办公文档>
  • PPT模板>
  • 建筑/施工/环境>
  • 毕业设计>
  • 工程图纸>
  • 教育教学>
  • 素材源码>
  • 生活休闲>
  • 临时分类>
  • ImageVerifierCode 换一换
    首页 三一办公 > 资源分类 > PPT文档下载
     

    实验三 基于Quartus II的流水灯设计仿真ppt课件.ppt

    • 资源ID:1413631       资源大小:1.77MB        全文页数:39页
    • 资源格式: PPT        下载积分:16金币
    快捷下载 游客一键下载
    会员登录下载
    三方登录下载: 微信开放平台登录 QQ登录  
    下载资源需要16金币
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    实验三 基于Quartus II的流水灯设计仿真ppt课件.ppt

    实验三:基于QuartusII原理图的流水灯设计及仿真,一、实验目的,掌握EDA集成工具软件Quartus II的使用; 了解基于PLD的EDA设计流程; 熟悉使用QuartusII原理图方式进行数字逻辑电路设计的方法;熟练掌握使用QuartusII对逻辑电路进行仿真。,2,二、实验设备,计算机,3,三、实验原理,流水灯是一种效果灯光,它通过按固定的规律将LED点亮或熄灭 上图给出了一种简单的流水灯状态变化示意图,用逻辑电路控制8个LED灯,始终保持7亮1暗,在脉冲信号CP的推动下循环流动;将灯亮用1表示,灯灭用0表示;,1二进制译码器结合计数器实现,使用八进制计数器产生74LS138地址端所需的8个地址信号,将计数输出Q0、Q1、Q2分别接入74LS138的A0、A1、A2,为计数器提供低频连续脉冲CP,即可在74LS138的8个输出端获得流水灯的连续状态输出,八进制计数器可以通过将3个JK或D触发器先组成T触发器,然后再级联为异步计数器,2移位寄存器构成顺序脉冲发生器实现,移位寄存器构成顺序脉冲发生器可用来控制LED灯按照设计的顺序节拍进行变化,从而实现流水效果。 74LS194是双向4位移位寄存器,为了控制8个灯,可以将2片74LS194级联后构成8位顺序脉冲发生器,顺序脉冲发生器启动时需要通过置数功能先预置状态初值,然后再切换回移位状态,这需要对74LS194的M1、M0模式控制端使用开关进行模式切换,1设计一个计数译码型流水灯的逻辑电路并完成仿真测试,电路采用8灯结构,8个灯按7亮1暗的规律循环流动,步骤要求如下:(1)在Quartus II软件环境下,采用原理图方式,完成逻辑电路设计。(2)启动全程编译,若存在严重的逻辑问题编译时会报错,修改直至电路无误;(3)新建波形激励文件并配置测试端口,合理设置仿真时间长度及仿真时钟周期;(4)启动仿真。仿真运行完毕后会生成报告波形。分析仿真波形的特征,印证设计目标,是否达到预期设计。2设计一个移存型流水灯的逻辑电路并完成仿真测试,电路采用8灯结构,8个灯按1亮7暗的规律循环流动。设计的步骤要求同上。,6,四、实验内容和要求,1、异步模八计数器设计(在QuartusII中画图),由JKT构成异步模八计数器为74LS138产生连续变化的地址信号;f1为连续脉冲CP,为便于视觉观察,输入频率一般应为1-10Hz;Q2、Q1、Q0分别接到74138的地址端A2、A1、A0;,7,计数译码型流水灯参考设计,2、译码器应用(在QuartusII中进行图形设计),Q2、Q1、Q0接三个JK触发器的Q端输出,接收前端计数器输出的地址信号;Y0、Y1、Y7与实验板上的LED灯进行连接(在QuartusII下进行引脚锁定),观察流水现象;,8,五、实验步骤,1. 通过QuartusII建立一个新项目;2. 建立项目时选Cyclone系列(family)的目标器件(devices)EP1C3T144C83. 新建图形设计文件,调用相关元件,设计总体电路原理图,并编译通过;4. 新建波形矢量文件,添加引脚端口并编辑激励波形,保存该文件并执行时序仿真,观察并分析仿真结果。,9,QuartusII软件操作流程,请同学们参照后面的步骤,提前做好预习,熟悉QuartusII软件的操作环境;QuartusII软件可到实验中心网站上下载,要注意它的破解步骤。,10,一、准备,1、使用QuartusII软件之前,请确保软件已正常破解若启动QuartusII时看到如下注册请求界面,则说明软件尚未注册许可,需要进行认证后才能正常使用:,11,在开始菜单运行中输入命令:cmd,打开MS-DOS命令窗;在命令窗中输入: ipconfig/all,回车后即列出本机物理地址physiccal address;用写字板或记事本打开本机QuartusII软件实际安装目录下的license.dat文件。如C:Altera license.dat使用“全部替换”功能将其中的所有的Host ID替换为本机实际的物理地址即完成破解。(替换时需注意不能插入空格并去掉符号“-” )保存文件并关闭,重启Quartus,注册请求界面已消失。,12,若注册请求界面还未消失请按左图选择在下图中重设文件指向路径,2、Quartus II 6.0主界面操作环境,14,1、Project Navigator(工程管理器),2、Message window(信息窗口),2、Status window(状态窗口),3、常用工具栏,15,To reset views: Tools Customize Toolbars Reset AllRestart Quartus II,编译报告,扩展控制按钮,若QuartusII界面上一些默认的按钮被关闭,影响使用,可按右边的操作步骤来复原,工程创建时的准备工作,QuartusII通过“工程(Project)”来管理设计文件,必须为此工程创建一个放置与此工程相关的所有设计文件的文件夹;此文件夹名不宜用中文,也最好不要用数字,应放到磁盘上容易找到的地方,不要放在软件的安装目录中;建立完工程文件夹后再进行后续操作,16,二、在QuartusII6.0环境下建立工程,1、项目创建向导,17,工程文件名,任取,建立在用户自己的目录下,不要使用软件的安装目录或系统目录,选择文件的存放路径,顶层实体名,一般和工程名相同,文件菜单,基于已有项目创建工程(一般 不使用),设置完毕后单击“Next”,2、为创建的工程添加设计文件,18,添加用户的设计文件选中待添加的文件后点击“Add”,若暂无文件,直接点击“Next”,设置完毕后单击“Next”,3、器件选择,19,选择FPGA器件型号,选择FPGA器件所属系列,实验开发板所使用的器件为ALtera公司Cyclone系列(Family)的EP1C3T144C8(Avaliable devices),设置完毕后单击“Next”,4、EDA 工具设置,20,选择第三方EDA工具(如ModelSim、Synplify等)这里不需要,都不打勾,该步骤可单击“Next”直接跳过,5、完成!,21,工程创建完毕,在工程管理器界面出现所选用的器件系列、器件名及工程文件名“CycloneII”;,单击“Finish”,完成工程创建,综上所述,创建工程时的几个步骤如下,(1)指定工程所在的工作库文件夹、工程名及设计实体名;(2)将设计文件加入工程中,若无设计文件直接跳过;(3)选择仿真器和综合器类型(默认“None”为选择QuartusII自带的);(4)选择目标芯片(开发板上的芯片类型);(5)工具设置,默认为都不选择,可直接跳过;(6)完成创建。工程建立后,若需要新增设计文件,可以通过菜单项Project /Add_Remove在工程中添加新建立的设计文件,也可以删除不需要的设计文件。编译时将按此选项卡中列出的文件处理。,22,23,三、在QuartusII6.0工程下建立设计文件,1、在File菜单下点击“New”,即弹出新建文件窗口,QuartusII支持原理图输入、VHDL语言输入等多种设计输入方式,后面以原理图输入(Block Diagram/Schematic File)为例介绍,选此后单击OK,2、建立原理图设计文件,24,原理图编辑区,绘图辅助工具,工程文件名,上图中,选择第二项:Block Diagram/Schematic File,点击ok后即得如下界面:,3、调用参数化元件(内置元件),在绘图区双击鼠标左键,即弹出添加元件的窗口,25,在此输入已知的元件名,可以快速地调出元件/端口并预览,点击“+”号可展开查看查看库中所有的元件/端口,分别输入“input”和“74138”时的预览窗口,26,输入74138,库里已有的元件会预览在这里,输入INPUT,库里已有的端口符号会预览在这里,单击OK,即可将预览的端口符号/元件放置在绘图区,绘图辅助工具栏介绍,27,1、画线及选择工具,2、文本工具,3、符号工具,点击后可调出前面添加元件的窗口,4、窗口缩放工具,5、窗口全屏显示,按“ESC”退出,注意:使用窗口缩放工具按钮后,请切换回画线及选择工具按钮,才能对绘图进行编辑。,其余工具按钮不常用,这里不介绍,从符号库中调出JKFF、74138、VCC、GND、INPUT、OUTPUT等符号/端口,排放整齐;完成画线连接操作鼠标放到端点处,会自动变为小十字形,按下左键拖动到目标处,释放后即完成本次画线操作若要画折线,在转折处单击一次左键,继续拖动即可;为INPUT、OUTPUT端口命名:双击该输出端口,在弹出的窗口中输入名称即可。,28,29,完整的计数译码8位流水灯参考逻辑图,30,四、全程编译,在下拉菜单“Processing”中选择“Start Compilation”,启动全程编译,编译完成后的信息报告窗口,关于全程编译,启动全程编译:选择Processing/Start Compilation,自动完成分析、排错、综合、适配、汇编及时序分析的全过程。编译过程中,错误信息通过下方的信息栏指示(红色字体)。双击错误信息,可以定位到错误所在处,改正后再次进行编译直至排除所有错误;编译成功后,会弹出编译报告,显示相关编译信息。,31,工程编译完成后,设计结果是否满足设计要求,可以通过时序仿真来分析;时序仿真主要包含如下的设置步骤:打开波形编辑器;设置仿真时间区域;波形文件存盘;将端口节点信号选入波形编辑器中;编辑输入波形(输入激励信号);总线数据格式设置启动仿真器观察仿真结果(波形编辑文件及产生的波形报告文件分开显示)若无法观察完整波形,可以使用热键Ctrl+W,即可看到完整的仿真波形。也可使用鼠标左右键,方法如下:,32,顺序并不是唯一的,五、时序仿真,1、建立波形矢量文件(左图),并进行保存;2、添加引脚节点,33,添加引脚节点(续),34,在Filter下选择“Pins:unassigned”,再单击“List”,列出引脚端口”,在Nodes Found下方的列表下选择所列出的端口,将其拖放到波形文件的引脚编辑区,3、设置仿真时间长度,35,默认为1us,这里将其设置为100us,4、设置仿真时间周期,36,默认为10ns,由于竞争冒险的存在,在仿真时信号波形和大量毛刺混叠在一起,影响仿真结果因此,这里设置为500ns,5、编辑输入端口信号,37,窗口缩放(左键放大,右键缩小),已编辑好的时钟波形,选中CP后,点击此符号,直接编辑周期脉冲信号,6、启动时序仿真,38,分析波形可见,与74LS138功能真值表一致,结果正确,低电平看做灯灭,高电平看做灯亮。,窄尖峰为冒险引起,不影响逻辑功能。,完成上一个仿真后,请自行设计移存型流水灯,并进行仿真验证。希望大家通过本次实验,上手并熟练掌握QuartusII的使用。,

    注意事项

    本文(实验三 基于Quartus II的流水灯设计仿真ppt课件.ppt)为本站会员(小飞机)主动上传,三一办公仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一办公(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    备案号:宁ICP备20000045号-2

    经营许可证:宁B2-20210002

    宁公网安备 64010402000987号

    三一办公
    收起
    展开